|
- /*
-
- Xilinx Vivado v2020.1 (64-bit) [Major: 2020, Minor: 1]
- SW Build: 2902540 on Wed May 27 19:54:35 MDT 2020
- IP Build: 2902112 on Wed May 27 22:43:36 MDT 2020
-
- Process ID (PID): 32028
- License: Customer
-
- Current time: Sun Apr 24 15:57:58 CST 2022
- Time zone: China Standard Time (Asia/Shanghai)
-
- OS: Ubuntu
- OS Version: 5.4.0-80-generic
- OS Architecture: amd64
- Available processors (cores): 20
-
- Display: localhost:14.0
- Screen size: 1920x1080
- Screen resolution (DPI): 100
- Available screens: 1
- Default font: family=Dialog,name=Dialog,style=plain,size=12
-
- Java version: 9.0.4 64-bit
- Java home: /tools/Xilinx/Vivado/2020.1/tps/lnx64/jre9.0.4
- Java executable location: /tools/Xilinx/Vivado/2020.1/tps/lnx64/jre9.0.4/bin/java
- Java initial memory (-Xms): 128 MB
- Java maximum memory (-Xmx): 3 GB
-
-
- User name: lq
- User home directory: /home/lq
- User working directory: /home/lq/dma_try
- User country: US
- User language: en
- User locale: en_US
-
- RDI_BASEROOT: /tools/Xilinx/Vivado
- HDI_APPROOT: /tools/Xilinx/Vivado/2020.1
- RDI_DATADIR: /tools/Xilinx/Vivado/2020.1/data
- RDI_BINDIR: /tools/Xilinx/Vivado/2020.1/bin
-
- Vivado preferences file location: /home/lq/.Xilinx/Vivado/2020.1/vivado.xml
- Vivado preferences directory: /home/lq/.Xilinx/Vivado/2020.1/
- Vivado layouts directory: /home/lq/.Xilinx/Vivado/2020.1/data/layouts
- PlanAhead jar file location: /tools/Xilinx/Vivado/2020.1/lib/classes/planAhead.jar
- Vivado log file location: /home/lq/dma_try/vivado.log
- Vivado journal file location: /home/lq/dma_try/vivado.jou
- Engine tmp dir: ./.Xil/Vivado-32028-stat
-
- Xilinx Environment Variables
- ----------------------------
- XILINX: /tools/Xilinx/Vivado/2020.1/ids_lite/ISE
- XILINX_DSP: /tools/Xilinx/Vivado/2020.1/ids_lite/ISE
- XILINX_PLANAHEAD: /tools/Xilinx/Vivado/2020.1
- XILINX_SDK: /tools/Xilinx/Vitis/2020.1
- XILINX_VITIS: /tools/Xilinx/Vitis/2020.1
- XILINX_VIVADO: /tools/Xilinx/Vivado/2020.1
- XILINX_VIVADO_HLS: /tools/Xilinx/Vivado/2020.1
-
-
- GUI allocated memory: 145 MB
- GUI max memory: 3,072 MB
- Engine allocated memory: 1,423 MB
-
- Copyright 1986-2020 Xilinx, Inc. All Rights Reserved.
-
- */
-
- // TclEventType: START_GUI
- // Tcl Message: start_gui
- // Tcl Command: 'rdi::info_commands {device::*}'
- // Tcl Command: 'rdi::info_commands {debug::*}'
- // Tcl Command: 'rdi::info_commands {*}'
- // HMemoryUtils.trashcanNow. Engine heap size: 1,423 MB. GUI used memory: 65 MB. Current time: 4/24/22, 3:57:59 PM CST
- // WARNING: HEventQueue.dispatchEvent() is taking 1001 ms.
- // WARNING: HEventQueue.dispatchEvent() is taking 1001 ms.
- // WARNING: HEventQueue.dispatchEvent() is taking 1001 ms.
- // HMemoryUtils.trashcanNow. Engine heap size: 1,455 MB. GUI used memory: 63 MB. Current time: 4/24/22, 3:58:59 PM CST
- selectList(PAResourceQtoS.SyntheticaGettingStartedView_RECENT_PROJECTS, "/home/lq/dma_try/dma_try.xpr", 0); // r (J, cs)
- // [GUI Memory]: 71 MB (+72322kb) [00:01:31]
- // [Engine Memory]: 1,457 MB (+1376733kb) [00:01:31]
- // Opening Vivado Project: /home/lq/dma_try/dma_try.xpr. Version: Vivado v2020.1
- // bz (cs): Open Project : addNotify
- // TclEventType: DEBUG_PROBE_SET_CHANGE
- // Tcl Message: open_project /home/lq/dma_try/dma_try.xpr
- // TclEventType: MSGMGR_MOVEMSG
- // TclEventType: FILE_SET_NEW
- // TclEventType: RUN_COMPLETED
- // TclEventType: RUN_STATUS_CHANGE
- // TclEventType: RUN_FAILED
- // TclEventType: RUN_STATUS_CHANGE
- // TclEventType: RUN_COMPLETED
- // TclEventType: RUN_STATUS_CHANGE
- // TclEventType: RUN_CURRENT
- // TclEventType: PROJECT_DASHBOARD_NEW
- // TclEventType: PROJECT_DASHBOARD_GADGET_NEW
- // TclEventType: PROJECT_DASHBOARD_GADGET_CHANGE
- // TclEventType: PROJECT_DASHBOARD_GADGET_NEW
- // TclEventType: PROJECT_DASHBOARD_GADGET_CHANGE
- // TclEventType: PROJECT_DASHBOARD_GADGET_NEW
- // TclEventType: PROJECT_DASHBOARD_GADGET_CHANGE
- // TclEventType: PROJECT_DASHBOARD_GADGET_NEW
- // TclEventType: PROJECT_DASHBOARD_GADGET_CHANGE
- // TclEventType: PROJECT_DASHBOARD_GADGET_NEW
- // TclEventType: PROJECT_DASHBOARD_GADGET_CHANGE
- // TclEventType: PROJECT_DASHBOARD_GADGET_NEW
- // TclEventType: PROJECT_DASHBOARD_GADGET_CHANGE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: PROJECT_NEW
- // [GUI Memory]: 89 MB (+14370kb) [00:01:36]
- // [GUI Memory]: 112 MB (+19444kb) [00:01:36]
- // WARNING: HEventQueue.dispatchEvent() is taking 1493 ms.
- // Tcl Message: open_project /home/lq/dma_try/dma_try.xpr
- // Tcl Message: Scanning sources... Finished scanning sources
- // Tcl Message: INFO: [IP_Flow 19-234] Refreshing IP repositories
- // Tcl Message: INFO: [IP_Flow 19-1700] Loaded user IP repository '/home/lq/dma_try'.
- // Tcl Message: INFO: [IP_Flow 19-2313] Loaded Vivado IP repository '/tools/Xilinx/Vivado/2020.1/data/ip'.
- // Tcl Message: open_project: Time (s): cpu = 00:00:11 ; elapsed = 00:00:07 . Memory (MB): peak = 7149.566 ; gain = 32.031 ; free physical = 81157 ; free virtual = 140867
- // Project name: dma_try; location: /home/lq/dma_try; part: xczu3eg-sbva484-1-e
- dismissDialog("Open Project"); // bz (cs)
- // [GUI Memory]: 131 MB (+14320kb) [00:01:39]
- // HMemoryUtils.trashcanNow. Engine heap size: 1,545 MB. GUI used memory: 74 MB. Current time: 4/24/22, 3:59:29 PM CST
- // a (cs): Critical Messages: addNotify
- // [Engine Memory]: 1,546 MB (+16300kb) [00:01:40]
- // Tcl Message: update_compile_order -fileset sources_1
- selectButton(PAResourceAtoD.CmdMsgDialog_OK, "OK"); // f (a)
- dismissDialog("Critical Messages"); // a (cs)
- selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, design_1_wrapper (design_1_wrapper.v)]", 2, true); // B (F, cs) - Node
- selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, design_1_wrapper (design_1_wrapper.v)]", 2, true, false, false, false, false, true); // B (F, cs) - Double Click - Node
- selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, design_1_wrapper (design_1_wrapper.v), design_1_i : design_1 (design_1.bd)]", 3, true); // B (F, cs) - Node
- selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, design_1_wrapper (design_1_wrapper.v), design_1_i : design_1 (design_1.bd)]", 3, true, false, false, false, false, true); // B (F, cs) - Double Click - Node
- // bz (cs): Open Block Design : addNotify
- // TclEventType: LOAD_FEATURE
- // Tcl Message: open_bd_design {/home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/design_1.bd}
- // TclEventType: RSB_CHANGE_CURRENT_DIAGRAM
- // TclEventType: RSB_PROPERTY_CHANGE
- // TclEventType: LOAD_FEATURE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_PROPERTY_CHANGE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_PROPERTY_CHANGE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_PROPERTY_CHANGE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_PROPERTY_CHANGE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_PROPERTY_CHANGE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_PROPERTY_CHANGE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_PROPERTY_CHANGE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_PROPERTY_CHANGE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_PROPERTY_CHANGE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_PROPERTY_CHANGE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_PROPERTY_CHANGE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_PROPERTY_CHANGE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_PROPERTY_CHANGE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_PROPERTY_CHANGE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_PROPERTY_CHANGE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_PROPERTY_CHANGE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_PROPERTY_CHANGE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_PROPERTY_CHANGE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_PROPERTY_CHANGE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_PROPERTY_CHANGE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_PROPERTY_CHANGE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_PROPERTY_CHANGE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_PROPERTY_CHANGE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_PROPERTY_CHANGE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_PROPERTY_CHANGE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_OPEN_DIAGRAM
- // Tcl Message: Adding component instance block -- xilinx.com:ip:zynq_ultra_ps_e:3.3 - zynq_ultra_ps_e_0 Adding component instance block -- xilinx.com:ip:axi_dma:7.1 - axi_dma_0
- // Tcl Message: Adding component instance block -- xilinx.com:ip:axi_interconnect:2.1 - ps8_0_axi_periph Adding component instance block -- xilinx.com:ip:axi_crossbar:2.1 - xbar Adding component instance block -- xilinx.com:ip:proc_sys_reset:5.0 - rst_ps8_0_200M Adding component instance block -- xilinx.com:ip:smartconnect:1.0 - axi_smc Adding component instance block -- user.org:user:read_add_one:1.0 - read_add_one_0
- // Tcl Message: Excluding slave segment /zynq_ultra_ps_e_0/SAXIGP2/HP0_LPS_OCM from address space /axi_dma_0/Data_MM2S. Excluding slave segment /zynq_ultra_ps_e_0/SAXIGP2/HP0_LPS_OCM from address space /axi_dma_0/Data_MM2S. Excluding slave segment /zynq_ultra_ps_e_0/SAXIGP2/HP0_LPS_OCM from address space /axi_dma_0/Data_MM2S. Excluding slave segment /zynq_ultra_ps_e_0/SAXIGP2/HP0_LPS_OCM from address space /axi_dma_0/Data_MM2S. Excluding slave segment /zynq_ultra_ps_e_0/SAXIGP2/HP0_LPS_OCM from address space /axi_dma_0/Data_S2MM. Successfully read diagram <design_1> from BD file </home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/design_1.bd>
- // TclEventType: RSB_OPEN_DIAGRAM
- // TclEventType: RSB_CONNECTION_CHANGE
- closeView(PAResourceOtoP.PAViews_PROJECT_SUMMARY, "Project Summary"); // v
- // TclEventType: RSB_LOCK_CHANGE
- // TclEventType: RSB_CHANGE_CURRENT_DIAGRAM
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_LOCK_CHANGE
- // TclEventType: RSB_CHANGE_CURRENT_DIAGRAM
- // a (cs): Critical Messages: addNotify
- dismissDialog("Open Block Design"); // bz (cs)
- selectButton(PAResourceAtoD.CmdMsgDialog_OK, "OK"); // f (a)
- dismissDialog("Critical Messages"); // a (cs)
- selectButton(PAResourceQtoS.RSBApplyAutomationBar_RUN_CONNECTION_AUTOMATION, "Run Connection Automation"); // h (dq, cs)
- // ai (cs): Run Connection Automation: addNotify
- selectButton(RDIResource.BaseDialog_OK, "OK"); // a (ai)
- dismissDialog("Run Connection Automation"); // ai (cs)
- // TclEventType: XGUI_UPDATE_GUI_ELEMENT
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_CONNECTION_CHANGE
- // TclEventType: RSB_SCRIPT_TASK
- // TclEventType: XGUI_RESET_GUI_ELEMENT
- // Tcl Message: apply_bd_automation -rule xilinx.com:bd_rule:axi4 -config { Clk_master {/zynq_ultra_ps_e_0/pl_clk0 (200 MHz)} Clk_slave {Auto} Clk_xbar {/zynq_ultra_ps_e_0/pl_clk0 (200 MHz)} Master {/zynq_ultra_ps_e_0/M_AXI_HPM1_FPD} Slave {/read_add_one_0/s_axi} ddr_seg {Auto} intc_ip {/ps8_0_axi_periph} master_apm {0}} [get_bd_intf_pins read_add_one_0/s_axi]
- // Tcl Message: Slave segment '/read_add_one_0/s_axi/reg0' is being assigned into address space '/zynq_ultra_ps_e_0/Data' at <0xB000_0000 [ 64K ]>.
- // Run Command: RDIResourceCommand.RDICommands_DELETE
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_REMOVE_OBJECT
- // Tcl Message: delete_bd_objs [get_bd_intf_nets read_add_one_0_axis_out]
- // Run Command: RDIResourceCommand.RDICommands_DELETE
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_REMOVE_OBJECT
- // Tcl Message: delete_bd_objs [get_bd_intf_nets axi_dma_0_M_AXIS_MM2S]
- // Run Command: RDIResourceCommand.RDICommands_DELETE
- // TclEventType: RSB_SCRIPT_TASK
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: RSB_SCRIPT_TASK
- // [GUI Memory]: 141 MB (+3663kb) [00:02:24]
- // Tcl Message: delete_bd_objs [get_bd_intf_nets ps8_0_axi_periph_M01_AXI] [get_bd_cells read_add_one_0]
- // [Engine Memory]: 1,728 MB (+110402kb) [00:02:25]
- // Elapsed time: 31 seconds
- selectButton(PAResourceQtoS.SystemBuilderView_ADD_IP, "System_RSB_ADD_IP"); // E (g, cs)
- setText("PAResourceAtoD.CoreTreeTablePanel_CORE_TREE_TABLE_SEARCH_FIELD", "read"); // OverlayTextField (az, ResizableWindow)
- selectTreeTable(PAResourceAtoD.CoreTreeTablePanel_CORE_TREE_TABLE, "read_add_one_v1_0", 0, "read_add_one_v1_0", 0, false); // L (J, ResizableWindow)
- expandTreeTable(PAResourceAtoD.CoreTreeTablePanel_CORE_TREE_TABLE, "read_add_one_v1_0", 0); // L (J, ResizableWindow)
- selectTreeTable(PAResourceAtoD.CoreTreeTablePanel_CORE_TREE_TABLE, "read_add_one_v1_0", 0, "read_add_one_v1_0", 0, false, false, false, false, false, true); // L (J, ResizableWindow) - Double Click
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // bz (cs): Add IP : addNotify
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: RSB_ADD_OBJECT
- // Tcl Message: startgroup
- // Tcl Message: create_bd_cell -type ip -vlnv user.org:user:read_add_one:1.0 read_add_one_0
- // Tcl Message: endgroup
- dismissDialog("Add IP"); // bz (cs)
- // HMemoryUtils.trashcanNow. Engine heap size: 1,643 MB. GUI used memory: 85 MB. Current time: 4/24/22, 4:00:19 PM CST
- // Tcl Command: 'set_property location {2 445 115} [get_bd_cells read_add_one_0]'
- // TclEventType: RSB_CANVAS_LOCATION
- // Tcl Message: set_property location {2 445 115} [get_bd_cells read_add_one_0]
- selectTab(RDIResource.PropertiesView_TABBED_PANE, (HResource) null, "Properties", 1); // i (c, cs)
- selectTab(RDIResource.PropertiesView_TABBED_PANE, (HResource) null, "Interface", 2); // i (c, cs)
- selectTab(RDIResource.PropertiesView_TABBED_PANE, (HResource) null, "Properties", 1); // i (c, cs)
- expandTreeTable(PAResourceTtoZ.TclObjectTreeTable_TREETABLE, "CONFIG ; ", 1); // l (C, cs)
- selectTreeTable(PAResourceTtoZ.TclObjectTreeTable_TREETABLE, "FREQ_HZ ; 100000000", 5, "100000000", 1, false); // l (C, cs)
- // TclEventType: RSB_PROPERTY_CHANGE
- // Tcl Message: set_property CONFIG.FREQ_HZ 200000000 [get_bd_pins /read_add_one_0/clk]
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_CONNECTION_CHANGE
- // Tcl Message: connect_bd_intf_net [get_bd_intf_pins read_add_one_0/axis_in] [get_bd_intf_pins axi_dma_0/M_AXIS_MM2S]
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_CONNECTION_CHANGE
- // Tcl Message: connect_bd_intf_net [get_bd_intf_pins read_add_one_0/axis_out] [get_bd_intf_pins axi_dma_0/S_AXIS_S2MM]
- // Elapsed time: 11 seconds
- selectButton(PAResourceQtoS.RSBApplyAutomationBar_RUN_CONNECTION_AUTOMATION, "Run Connection Automation"); // h (dq, cs)
- // ai (cs): Run Connection Automation: addNotify
- selectButton(RDIResource.BaseDialog_OK, "OK"); // a (ai)
- dismissDialog("Run Connection Automation"); // ai (cs)
- // TclEventType: XGUI_UPDATE_GUI_ELEMENT
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_CONNECTION_CHANGE
- // TclEventType: RSB_SCRIPT_TASK
- // TclEventType: XGUI_RESET_GUI_ELEMENT
- // Tcl Message: apply_bd_automation -rule xilinx.com:bd_rule:axi4 -config { Clk_master {/zynq_ultra_ps_e_0/pl_clk0 (200 MHz)} Clk_slave {Auto} Clk_xbar {/zynq_ultra_ps_e_0/pl_clk0 (200 MHz)} Master {/zynq_ultra_ps_e_0/M_AXI_HPM1_FPD} Slave {/read_add_one_0/s_axi} ddr_seg {Auto} intc_ip {/ps8_0_axi_periph} master_apm {0}} [get_bd_intf_pins read_add_one_0/s_axi]
- // Tcl Message: Slave segment '/read_add_one_0/s_axi/reg0' is being assigned into address space '/zynq_ultra_ps_e_0/Data' at <0xB000_0000 [ 64K ]>.
- // Elapsed time: 108 seconds
- selectTab((HResource) null, (HResource) null, "Sources", 0); // aL (aI, cs)
- // HMemoryUtils.trashcanNow. Engine heap size: 1,663 MB. GUI used memory: 86 MB. Current time: 4/24/22, 4:02:29 PM CST
- selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Program and Debug, Generate Bitstream]", 21, false); // u (J, cs)
- // Run Command: PAResourceCommand.PACommandNames_RUN_BITGEN
- // am (cs): Save Project: addNotify
- selectButton(PAResourceQtoS.SaveProjectUtils_SAVE, "Save"); // a (am)
- // bz (cs): Save Constraints : addNotify
- // TclEventType: RSB_SAVE_DIAGRAM
- dismissDialog("Save Project"); // am (cs)
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_SAVE_DIAGRAM
- // Tcl Message: save_bd_design
- // Tcl Message: Wrote : </home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/design_1.bd> Wrote : </home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/ui/bd_1f5defd0.ui>
- // A (cs): No Implementation Results Available: addNotify
- dismissDialog("Save Constraints"); // bz (cs)
- selectButton(RDIResource.BaseDialog_YES, "Yes"); // a (A)
- // bz (cs): Resetting Runs : addNotify
- // TclEventType: RUN_MODIFY
- dismissDialog("No Implementation Results Available"); // A (cs)
- // TclEventType: RUN_RESET
- // TclEventType: RUN_STATUS_CHANGE
- // TclEventType: RUN_RESET
- // TclEventType: RUN_STATUS_CHANGE
- // TclEventType: RUN_RESET
- // TclEventType: RUN_MODIFY
- // Tcl Message: reset_run synth_1
- // TclEventType: RUN_MODIFY
- // TclEventType: RUN_RESET
- // TclEventType: RUN_STATUS_CHANGE
- // TclEventType: RUN_RESET
- // TclEventType: RUN_MODIFY
- // Tcl Message: reset_run design_1_synth_1
- // f (cs): Launch Runs: addNotify
- selectButton(RDIResource.BaseDialog_OK, "OK"); // a (f)
- // 'cD' command handler elapsed time: 5 seconds
- // TclEventType: RSB_SCRIPT_TASK
- dismissDialog("Launch Runs"); // f (cs)
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_SCRIPT_TASK
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: RSB_SCRIPT_TASK
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: RSB_SCRIPT_TASK
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: RSB_SCRIPT_TASK
- // TclEventType: FILE_SET_CHANGE
- // bz (cs): Generate Bitstream : addNotify
- // TclEventType: FILE_SET_CHANGE
- // Tcl Message: launch_runs impl_1 -to_step write_bitstream -jobs 18
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_CLOSE_DIAGRAM
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_SCRIPT_TASK
- // TclEventType: RSB_SAVE_DIAGRAM
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_SAVE_DIAGRAM
- // Tcl Message: Wrote : </home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/design_1.bd>
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_SAVE_DIAGRAM
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_SCRIPT_TASK
- // TclEventType: FILE_SET_CHANGE
- // Tcl Message: VHDL Output written to : /home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/synth/design_1.v VHDL Output written to : /home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/sim/design_1.v VHDL Output written to : /home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/hdl/design_1_wrapper.v
- // Tcl Message: INFO: [BD 41-1029] Generation completed for the IP Integrator block ps8_0_axi_periph/xbar .
- // TclEventType: FILE_SET_CHANGE
- // Tcl Message: Exporting to file /home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/ip/design_1_axi_smc_0/bd_0/hw_handoff/design_1_axi_smc_0.hwh Generated Block Design Tcl file /home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/ip/design_1_axi_smc_0/bd_0/hw_handoff/design_1_axi_smc_0_bd.tcl Generated Hardware Definition File /home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/ip/design_1_axi_smc_0/bd_0/synth/design_1_axi_smc_0.hwdef
- // Tcl Message: INFO: [BD 41-1029] Generation completed for the IP Integrator block axi_smc . INFO: [BD 41-1029] Generation completed for the IP Integrator block read_add_one_0 .
- // Tcl Message: INFO: [BD 41-1029] Generation completed for the IP Integrator block ps8_0_axi_periph/s00_couplers/auto_ds .
- // Tcl Message: INFO: [BD 41-1029] Generation completed for the IP Integrator block ps8_0_axi_periph/s00_couplers/auto_pc .
- // Tcl Message: INFO: [BD 41-1029] Generation completed for the IP Integrator block ps8_0_axi_periph/s01_couplers/auto_ds .
- // Tcl Message: INFO: [BD 41-1029] Generation completed for the IP Integrator block ps8_0_axi_periph/s01_couplers/auto_pc .
- // Tcl Message: Exporting to file /home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/hw_handoff/design_1.hwh Generated Block Design Tcl file /home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/hw_handoff/design_1_bd.tcl Generated Hardware Definition File /home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/synth/design_1.hwdef
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RUN_LAUNCH
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RUN_LAUNCH
- // TclEventType: RUN_MODIFY
- // TclEventType: RUN_STATUS_CHANGE
- // TclEventType: RUN_MODIFY
- // Tcl Message: [Sun Apr 24 16:02:50 2022] Launched design_1_synth_1, synth_1... Run output will be captured here: design_1_synth_1: /home/lq/dma_try/dma_try.runs/design_1_synth_1/runme.log synth_1: /home/lq/dma_try/dma_try.runs/synth_1/runme.log [Sun Apr 24 16:02:51 2022] Launched impl_1... Run output will be captured here: /home/lq/dma_try/dma_try.runs/impl_1/runme.log
- // Tcl Message: launch_runs: Time (s): cpu = 00:00:17 ; elapsed = 00:00:15 . Memory (MB): peak = 7445.398 ; gain = 57.895 ; free physical = 80863 ; free virtual = 140529
- // Elapsed time: 15 seconds
- dismissDialog("Generate Bitstream"); // bz (cs)
- // TclEventType: RUN_STATUS_CHANGE
- // Elapsed time: 63 seconds
- selectTab((HResource) null, (HResource) null, "Messages", 1); // aL (aI, cs)
- selectButton(PAResourceItoN.MsgView_CLEAR_MESSAGES_RESULTING_FROM_USER_EXECUTED, "Messages_cleanUpMessages"); // E (g, cs)
- selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "design_1_wrapper.v", 2); // m (l, cs)
- // Elapsed time: 37 seconds
- closeView(PAResourceOtoP.PAViews_CODE, "Code"); // F
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RUN_STATUS_CHANGE
- // TclEventType: RUN_COMPLETED
- // TclEventType: RUN_STATUS_CHANGE
- // TclEventType: RUN_STEP_COMPLETED
- // WARNING: HEventQueue.dispatchEvent() is taking 2558 ms.
- // [GUI Memory]: 150 MB (+2521kb) [00:08:16]
- // Elapsed time: 94 seconds
- selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "System", 0); // m (l, cs)
- selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "Address Editor", 1); // m (l, cs)
- closeView(PAResourceOtoP.PAViews_ADDRESS_EDITOR, "Address Editor"); // c
- // TclEventType: RUN_COMPLETED
- // TclEventType: RUN_STATUS_CHANGE
- // TclEventType: RUN_STEP_COMPLETED
- // TclEventType: RUN_STATUS_CHANGE
- // TclEventType: RUN_STEP_COMPLETED
- // TclEventType: RUN_COMPLETED
- // TclEventType: RUN_STATUS_CHANGE
- // ag (cs): Bitstream Generation Completed: addNotify
- // Elapsed time: 372 seconds
- dismissDialog("Bitstream Generation Completed"); // ag (cs)
- selectMenu(PAResourceItoN.MainMenuMgr_FILE, "File"); // aa (q, cs)
- selectMenu(PAResourceItoN.MainMenuMgr_PROJECT, "Project"); // af (cs)
- selectMenu(PAResourceItoN.MainMenuMgr_CHECKPOINT, "Checkpoint"); // af (cs)
- selectMenu(PAResourceItoN.MainMenuMgr_IP, "IP"); // af (cs)
- selectMenu(PAResourceItoN.MainMenuMgr_TEXT_EDITOR, "Text Editor"); // af (cs)
- selectMenu(PAResourceItoN.MainMenuMgr_EXPORT, "Export"); // af (cs)
- selectMenuItem(PAResourceCommand.PACommandNames_EXPORT_HARDWARE, "Export Hardware..."); // ai (cs)
- dismissMenu(PAResourceItoN.MainMenuMgr_FILE, "File"); // aa (q, cs)
- // Run Command: PAResourceCommand.PACommandNames_EXPORT_HARDWARE
- // Tcl Command: 'get_property pfm_name [get_files -all {/home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/design_1.bd}]'
- // Tcl Command: 'get_property pfm_name [get_files -all {/home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/design_1.bd}]'
- // k (cs): Export Hardware Platform: addNotify
- selectButton("NEXT", "Next >"); // JButton (j, k)
- selectRadioButton((HResource) null, "Include bitstream. This platform includes the complete hardware implementation and bitstream, in addition to the hardware specification for software tools."); // b (a, k)
- selectButton("NEXT", "Next >"); // JButton (j, k)
- selectButton("NEXT", "Next >"); // JButton (j, k)
- selectButton("OptionPane.button", "Yes"); // JButton (v, C)
- // Tcl Command: 'get_property pfm_name [get_files -all {/home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/design_1.bd}]'
- // Tcl Command: 'set_property pfm_name {} [get_files -all {/home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/design_1.bd}]'
- selectButton("FINISH", "Finish"); // JButton (j, k)
- // 'm' command handler elapsed time: 16 seconds
- // Tcl Message: set_property pfm_name {} [get_files -all {/home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/design_1.bd}]
- dismissDialog("Export Hardware Platform"); // k (cs)
- // Tcl Message: write_hw_platform -fixed -include_bit -force -file /home/lq/dma_try/design_1_wrapper.xsa
- // Tcl Message: INFO: [Vivado 12-4895] Creating Hardware Platform: /home/lq/dma_try/design_1_wrapper.xsa ...
- // bz (cs): Export Hardware Platform : addNotify
- // HMemoryUtils.trashcanNow. Engine heap size: 1,746 MB. GUI used memory: 100 MB. Current time: 4/24/22, 4:13:14 PM CST
- // Tcl Message: INFO: [Hsi 55-2053] elapsed time for repository (/tools/Xilinx/Vivado/2020.1/data/embeddedsw) loading 0 seconds
- // Tcl Message: INFO: [Vivado 12-4896] Successfully created Hardware Platform: /home/lq/dma_try/design_1_wrapper.xsa
- // Tcl Message: write_hw_platform: Time (s): cpu = 00:00:09 ; elapsed = 00:00:09 . Memory (MB): peak = 7471.555 ; gain = 18.152 ; free physical = 80731 ; free virtual = 140476
- // [GUI Memory]: 158 MB (+232kb) [00:15:31]
- dismissDialog("Export Hardware Platform"); // bz (cs)
- // Elapsed time: 65 seconds
- selectMenu(PAResourceItoN.MainMenuMgr_FILE, "File"); // aa (q, cs)
- selectMenu(PAResourceItoN.MainMenuMgr_PROJECT, "Project"); // af (cs)
- selectMenu(PAResourceItoN.MainMenuMgr_CHECKPOINT, "Checkpoint"); // af (cs)
- selectMenu(PAResourceItoN.MainMenuMgr_IP, "IP"); // af (cs)
- selectMenu(PAResourceItoN.MainMenuMgr_TEXT_EDITOR, "Text Editor"); // af (cs)
- selectMenu(PAResourceItoN.MainMenuMgr_EXPORT, "Export"); // af (cs)
- selectMenu(PAResourceItoN.MainMenuMgr_EXPORT, "Export"); // af (cs)
- selectMenuItem(PAResourceCommand.PACommandNames_EXPORT_BITSTREAM_FILES, "Export Bitstream File..."); // ai (cs)
- dismissMenu(PAResourceItoN.MainMenuMgr_FILE, "File"); // aa (q, cs)
- // Run Command: PAResourceCommand.PACommandNames_EXPORT_BITSTREAM_FILES
- // Elapsed time: 27 seconds
- setFileChooser("/home/lq/dma_try/dma_try.bit");
- // 'a' command handler elapsed time: 27 seconds
- selectButton("OptionPane.button", "OK"); // JButton (v, B)
- // Tcl Message: file copy -force /home/lq/dma_try/dma_try.runs/impl_1/design_1_wrapper.bit /home/lq/dma_try/dma_try.bit
- selectButton("OptionPane.button", "OK"); // JButton (v, B)
- // Elapsed time: 633 seconds
- selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, read_add_one (read_add_one.v)]", 5, false); // B (F, cs)
- selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, read_add_one (read_add_one.v)]", 5, false, false, false, false, false, true); // B (F, cs) - Double Click
- // Elapsed time: 410 seconds
- selectCodeEditor("read_add_one.v", 111, 298); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 106, 308); // bP (w, cs)
- // Elapsed time: 21 seconds
- selectCodeEditor("read_add_one.v", 201, 251); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 201, 251, false, false, false, false, true); // bP (w, cs) - Double Click
- selectCodeEditor("read_add_one.v", 145, 343); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 116, 265); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 116, 265, false, false, false, false, true); // bP (w, cs) - Double Click
- selectCodeEditor("read_add_one.v", 201, 270); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 201, 266); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 200, 267, false, false, false, false, true); // bP (w, cs) - Double Click
- selectCodeEditor("read_add_one.v", 188, 348); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 182, 331); // bP (w, cs)
- // Elapsed time: 169 seconds
- selectCodeEditor("read_add_one.v", 198, 249); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 198, 249, false, false, false, false, true); // bP (w, cs) - Double Click
- // Elapsed time: 20 seconds
- selectCodeEditor("read_add_one.v", 202, 263); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 180, 313); // bP (w, cs)
- typeControlKey((HResource) null, "read_add_one.v", 'v'); // bP (w, cs)
- typeControlKey((HResource) null, "read_add_one.v", 'v'); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 215, 260); // bP (w, cs)
- typeControlKey((HResource) null, "read_add_one.v", 'v'); // bP (w, cs)
- setText(RDIResource.HCodeEditor_SEARCH_TEXT_COMBO_BOX, "axis_out_tlast"); // l (au, cs)
- selectCodeEditor("read_add_one.v", 103, 272); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 98, 276); // bP (w, cs)
- typeControlKey((HResource) null, "read_add_one.v", 'v'); // bP (w, cs)
- // Elapsed time: 17 seconds
- selectCodeEditor("read_add_one.v", 222, 122); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 76, 313); // bP (w, cs)
- typeControlKey((HResource) null, "read_add_one.v", 'v'); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 25, 323); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 390, 311); // bP (w, cs)
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- // HMemoryUtils.trashcanNow. Engine heap size: 1,805 MB. GUI used memory: 101 MB. Current time: 4/24/22, 4:36:54 PM CST
- selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Program and Debug, Generate Bitstream]", 21, false); // u (J, cs)
- // Run Command: PAResourceCommand.PACommandNames_RUN_BITGEN
- // f (cs): Launch Runs: addNotify
- selectButton("OptionPane.button", "OK"); // JButton (v, B)
- selectButton(RDIResource.BaseDialog_OK, "OK"); // a (f)
- // 'cD' command handler elapsed time: 10 seconds
- // TclEventType: RUN_MODIFY
- dismissDialog("Launch Runs"); // f (cs)
- // TclEventType: RUN_RESET
- // TclEventType: RUN_MODIFY
- // Tcl Message: reset_run impl_1 -prev_step
- // TclEventType: RUN_LAUNCH
- // TclEventType: RUN_MODIFY
- // Tcl Message: launch_runs impl_1 -to_step write_bitstream -jobs 18
- // Tcl Message: [Sun Apr 24 16:37:06 2022] Launched impl_1... Run output will be captured here: /home/lq/dma_try/dma_try.runs/impl_1/runme.log
- // TclEventType: RUN_STATUS_CHANGE
- // TclEventType: RUN_COMPLETED
- // TclEventType: RUN_STATUS_CHANGE
- // Elapsed time: 42 seconds
- selectCodeEditor("read_add_one.v", 209, 199); // bP (w, cs)
- // ag (cs): Bitstream Generation Completed: addNotify
- dismissDialog("Bitstream Generation Completed"); // ag (cs)
- selectButton(PAResourceOtoP.PlanAheadTab_REFRESH_IP_CATALOG, "Refresh IP Catalog"); // h (ds, cs)
- // TclEventType: CREATE_IP_CATALOG
- // TclEventType: IP_LOCK_CHANGE
- // TclEventType: BDCELL_LOCK_CHANGE
- // TclEventType: CREATE_IP_CATALOG
- // Tcl Message: update_ip_catalog -rebuild -scan_changes
- // Tcl Message: INFO: [IP_Flow 19-234] Refreshing IP repositories
- // Tcl Message: INFO: [IP_Flow 19-1700] Loaded user IP repository '/home/lq/dma_try'.
- // TclEventType: IP_SUMMARY_RESULTS
- // bz (cs): Refresh IP Catalog : addNotify
- // TclEventType: IP_SUMMARY_RESULTS
- // Tcl Message: report_ip_status -name ip_status
- // [Engine Memory]: 1,845 MB (+31773kb) [00:40:06]
- dismissDialog("Refresh IP Catalog"); // bz (cs)
- selectButton(PAResourceItoN.IPStatusSectionPanel_UPGRADE_SELECTED, "Upgrade Selected"); // a (g, cs)
- // Run Command: PAResourceCommand.PACommandNames_UPGRADE_IP
- // TclEventType: RSB_OPEN_DIAGRAM
- // TclEventType: RSB_SCRIPT_TASK
- // TclEventType: DG_GRAPH_STALE
- // Tcl Message: upgrade_ip -srcset design_1 -vlnv user.org:user:read_add_one:1.0 [get_ips design_1_read_add_one_0_1] -log ip_upgrade.log
- // Tcl Message: Upgrading '/home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/design_1.bd'
- // TclEventType: DG_GRAPH_STALE
- // bz (cs): Upgrade IP : addNotify
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: RSB_SCRIPT_TASK
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_SAVE_DIAGRAM
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_SAVE_DIAGRAM
- // TclEventType: FILESET_UPDATE_IP
- // TclEventType: IP_UPGRADE_COMPLETE
- // Tcl Message: INFO: [IP_Flow 19-1972] Upgraded design_1_read_add_one_0_1 from read_add_one_v1_0 1.0 to read_add_one_v1_0 1.0
- // Tcl Message: Wrote : </home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/design_1.bd>
- // Tcl Message: INFO: [Coretcl 2-1525] Wrote upgrade log to '/home/lq/dma_try/ip_upgrade.log'.
- // Tcl Message: export_ip_user_files -of_objects [get_ips design_1_read_add_one_0_1] -no_script -sync -force -quiet
- // HMemoryUtils.trashcanNow. Engine heap size: 1,847 MB. GUI used memory: 103 MB. Current time: 4/24/22, 4:37:59 PM CST
- // aI (cs): Generate Output Products: addNotify
- selectButton("OptionPane.button", "OK"); // JButton (v, B)
- selectButton(PAResourceQtoS.SimpleOutputProductDialog_GENERATE_OUTPUT_PRODUCTS_IMMEDIATELY, "Generate"); // a (aI)
- // bz (cs): Managing Output Products : addNotify
- // TclEventType: RSB_SCRIPT_TASK
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_SCRIPT_TASK
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: RSB_SCRIPT_TASK
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: RSB_SCRIPT_TASK
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: RSB_SCRIPT_TASK
- // TclEventType: FILE_SET_CHANGE
- // Tcl Message: generate_target all [get_files /home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/design_1.bd]
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_CLOSE_DIAGRAM
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_SCRIPT_TASK
- // Tcl Message: ERROR: [BD 41-237] Bus Interface property FREQ_HZ does not match between /read_add_one_0/axis_in(100000000) and /axi_dma_0/M_AXIS_MM2S(200000000)
- // Tcl Message: ERROR: [BD 41-237] Bus Interface property FREQ_HZ does not match between /axi_dma_0/S_AXIS_S2MM(200000000) and /read_add_one_0/axis_out(100000000) ERROR: [BD 41-237] Bus Interface property FREQ_HZ does not match between /read_add_one_0/s_axi(100000000) and /ps8_0_axi_periph/xbar/M01_AXI(200000000) ERROR: [BD 41-238] Port/Pin property FREQ_HZ does not match between /read_add_one_0/clk(100000000) and /zynq_ultra_ps_e_0/pl_clk0(200000000) ERROR: [BD 41-1031] Hdl Generation failed for the IP Integrator design /home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/design_1.bd
- // Tcl Message: generate_target: Time (s): cpu = 00:00:14 ; elapsed = 00:00:12 . Memory (MB): peak = 7651.641 ; gain = 0.000 ; free physical = 80641 ; free virtual = 140356
- // Tcl Message: ERROR: [Common 17-39] 'generate_target' failed due to earlier errors.
- // CommandFailedException: ERROR: [Common 17-69] Command failed: ERROR: [Common 17-39] 'generate_target' failed due to earlier errors.
- // a (cs): Critical Messages: addNotify
- // Elapsed time: 12 seconds
- dismissDialog("Managing Output Products"); // bz (cs)
- // [Engine Memory]: 1,948 MB (+10784kb) [00:40:26]
- // HMemoryUtils.trashcanNow. Engine heap size: 1,948 MB. GUI used memory: 107 MB. Current time: 4/24/22, 4:38:19 PM CST
- // [GUI Memory]: 166 MB (+52kb) [00:42:40]
- // Elapsed time: 155 seconds
- selectButton(PAResourceAtoD.CmdMsgDialog_OK, "OK"); // f (a)
- dismissDialog("Critical Messages"); // a (cs)
- // Elapsed time: 57 seconds
- selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "read_add_one.v", 1); // m (l, cs)
- selectCodeEditor("read_add_one.v", 143, 261); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 143, 261, false, false, false, false, true); // bP (w, cs) - Double Click
- // Run Command: PAResourceCommand.PACommandNames_SAVE_RSB_DESIGN
- // TclEventType: RSB_SAVE_DIAGRAM
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- // bz (cs): Save Design : addNotify
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_SAVE_DIAGRAM
- // Tcl Message: save_bd_design
- // Tcl Message: Wrote : </home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/design_1.bd>
- dismissDialog("Save Design"); // bz (cs)
- // [Engine Memory]: 2,052 MB (+7479kb) [00:44:09]
- selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, read_add_one (read_add_one.v)]", 10, false); // B (F, cs)
- selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, read_add_one (read_add_one.v)]", 10, false, false, false, false, true, false); // B (F, cs) - Popup Trigger
- selectMenu(PAResourceCommand.PACommandNames_AUTO_UPDATE_HIER, "Hierarchy Update"); // af (ao, cs)
- selectMenu(PAResourceQtoS.SrcMenu_IP_HIERARCHY, "IP Hierarchy"); // af (ao, cs)
- selectMenuItem(PAResourceCommand.PACommandNames_SET_AS_TOP, "Set as Top"); // ai (ao, cs)
- // Run Command: PAResourceCommand.PACommandNames_SET_AS_TOP
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: FILE_SET_OPTIONS_CHANGE
- // Tcl Message: set_property top read_add_one [current_fileset]
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- // Tcl Message: update_compile_order -fileset sources_1
- // HMemoryUtils.trashcanNow. Engine heap size: 1,981 MB. GUI used memory: 103 MB. Current time: 4/24/22, 4:42:09 PM CST
- // Elapsed time: 103 seconds
- selectCodeEditor("read_add_one.v", 556, 284); // bP (w, cs)
- // Elapsed time: 57 seconds
- selectButton(RDIResource.BaseReportTab_RERUN, "Rerun"); // h (ds, cs)
- // Tcl Command: 'report_ip_status -name ip_status '
- // TclEventType: IP_SUMMARY_RESULTS
- // Tcl Message: report_ip_status -name ip_status
- // Elapsed time: 26 seconds
- selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Program and Debug, Generate Bitstream]", 21, false); // u (J, cs)
- // Run Command: PAResourceCommand.PACommandNames_RUN_BITGEN
- // A (cs): Synthesis is Out-of-date: addNotify
- selectButton(RDIResource.BaseDialog_YES, "Yes"); // a (A)
- // bz (cs): Resetting Runs : addNotify
- // TclEventType: RUN_MODIFY
- dismissDialog("Synthesis is Out-of-date"); // A (cs)
- // TclEventType: RUN_RESET
- // TclEventType: RUN_STATUS_CHANGE
- // TclEventType: RUN_RESET
- // TclEventType: RUN_STATUS_CHANGE
- // TclEventType: RUN_RESET
- // TclEventType: RUN_MODIFY
- // Tcl Message: reset_run synth_1
- // f (cs): Launch Runs: addNotify
- // 'cD' command handler elapsed time: 14 seconds
- // Elapsed time: 12 seconds
- dismissDialog("Launch Runs"); // f (cs)
- expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, IP-XACT]", 15); // B (F, cs)
- selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, IP-XACT, component.xml]", 16, false); // B (F, cs)
- selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, IP-XACT, component.xml]", 16, false, false, false, false, false, true); // B (F, cs) - Double Click
- // bz (cs): Open IP-XACT File : addNotify
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_CURRENT_CORE
- // Run Command: PAResourceCommand.PACommandNames_IP_PACKAGER
- // TclEventType: PACKAGER_OBJECT_CHANGE
- // Tcl Message: ipx::open_ipxact_file /home/lq/dma_try/dma_try.srcs/sources_1/component.xml
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_MESSAGE_UPDATE
- // TclEventType: PACKAGER_OBJECT_CHANGE
- dismissDialog("Open IP-XACT File"); // bz (cs)
- selectList(PAResourceOtoP.PackagerStepsPanel_PACKAGER_STEPS_LIST, "Customization Parameters", 3); // ar (J, cs)
- selectButton(PAResourceItoN.MessageBanner_CHANGES_DETECTED_IN_VIVADO_PROJECT_THAT, "Merge changes from Customization Parameters Wizard"); // h (M, cs)
- // TclEventType: PACKAGER_COMPONENT_RESET
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_MESSAGE_UPDATE
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_OBJECT_CHANGE
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_MESSAGE_UPDATE
- // Tcl Message: ipx::merge_project_changes hdl_parameters [ipx::current_core]
- // Tcl Message: INFO: [IP_Flow 19-3166] Bus Interface 's_axi': References existing memory map 's_axi'.
- selectList(PAResourceOtoP.PackagerStepsPanel_PACKAGER_STEPS_LIST, "Review and Package", 7); // ar (J, cs)
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_MESSAGE_UPDATE
- selectButton(PAResourceQtoS.ReviewContentPanel_RE_PACKAGE_IP, "Re-Package IP"); // a (c, cs)
- // TclEventType: PACKAGER_OBJECT_CHANGE
- // Tcl Message: set_property core_revision 3 [ipx::current_core]
- // bz (cs): Package IP : addNotify
- // Tcl Message: ipx::create_xgui_files [ipx::current_core]
- // Tcl Message: ipx::update_checksums [ipx::current_core]
- // Tcl Message: ipx::save_core [ipx::current_core]
- // TclEventType: CREATE_IP_CATALOG
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_MESSAGE_UPDATE
- // TclEventType: CREATE_IP_CATALOG
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_MESSAGE_UPDATE
- // Tcl Message: update_ip_catalog -rebuild -repo_path /home/lq/dma_try/dma_try.srcs/sources_1
- // Tcl Message: 0
- // CommandFailedException: ERROR: [Common 17-69] Command failed: 0
- // a (cs): Critical Messages: addNotify
- dismissDialog("Package IP"); // bz (cs)
- // TclEventType: FILE_SET_CHANGE
- selectButton(PAResourceAtoD.CmdMsgDialog_OK, "OK"); // f (a)
- dismissDialog("Critical Messages"); // a (cs)
- selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, design_1_wrapper (design_1_wrapper.v)]", 2, true); // B (F, cs) - Node
- selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, design_1_wrapper (design_1_wrapper.v)]", 2, true, false, false, false, true, false); // B (F, cs) - Popup Trigger - Node
- selectMenu(PAResourceCommand.PACommandNames_AUTO_UPDATE_HIER, "Hierarchy Update"); // af (ao, cs)
- selectMenu(PAResourceQtoS.SrcMenu_IP_HIERARCHY, "IP Hierarchy"); // af (ao, cs)
- selectMenuItem(PAResourceCommand.PACommandNames_SET_AS_TOP, "Set as Top"); // ai (ao, cs)
- // Run Command: PAResourceCommand.PACommandNames_SET_AS_TOP
- // TclEventType: PACKAGER_MESSAGE_UPDATE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: FILE_SET_OPTIONS_CHANGE
- // TclEventType: DG_GRAPH_STALE
- // Tcl Message: set_property top design_1_wrapper [current_fileset]
- // TclEventType: DG_GRAPH_STALE
- // [GUI Memory]: 175 MB (+659kb) [00:47:55]
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: PACKAGER_MESSAGE_UPDATE
- // TclEventType: FILE_SET_CHANGE
- // Tcl Message: update_compile_order -fileset sources_1
- selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "read_add_one.v", 1); // m (l, cs)
- // TclEventType: PACKAGER_UNLOAD_CORE
- closeView(PAResourceOtoP.PAViews_PACKAGE_IP, "Package IP - read_add_one"); // ac
- closeView(PAResourceOtoP.PAViews_PACKAGE_IP, "Package IP - read_add_one"); // ac
- // Tcl Message: ipx::unload_core /home/lq/dma_try/dma_try.srcs/sources_1/component.xml
- selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "System", 0); // m (l, cs)
- // HMemoryUtils.trashcanNow. Engine heap size: 2,015 MB. GUI used memory: 115 MB. Current time: 4/24/22, 4:45:54 PM CST
- selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "read_add_one.v", 1); // m (l, cs)
- selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "System", 0); // m (l, cs)
- selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, design_1_wrapper (design_1_wrapper.v), design_1_i : design_1 (design_1.bd)]", 3, true); // B (F, cs) - Node
- selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, design_1_wrapper (design_1_wrapper.v), design_1_i : design_1 (design_1.bd)]", 3, true); // B (F, cs) - Node
- selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, design_1_wrapper (design_1_wrapper.v), design_1_i : design_1 (design_1.bd)]", 3, true, false, false, false, false, true); // B (F, cs) - Double Click - Node
- // bz (cs): Open Block Design : addNotify
- // TclEventType: RSB_OPEN_DIAGRAM
- // Tcl Message: open_bd_design {/home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/design_1.bd}
- dismissDialog("Open Block Design"); // bz (cs)
- // Run Command: PAResourceCommand.PACommandNames_CUSTOMIZE_RSB_PIN
- // r (cs): Customize Pin: addNotify
- dismissDialog("Customize Pin"); // r (cs)
- // Run Command: PAResourceCommand.PACommandNames_CUSTOMIZE_RSB_BLOC
- // r (cs): Re-customize IP: addNotify
- dismissDialog("Re-customize IP"); // r (cs)
- // Run Command: PAResourceCommand.PACommandNames_CUSTOMIZE_RSB_BLOC
- // r (cs): Re-customize IP: addNotify
- dismissDialog("Re-customize IP"); // r (cs)
- // Run Command: PAResourceCommand.PACommandNames_CUSTOMIZE_RSB_PIN
- // r (cs): Customize Pin: addNotify
- setText("Frequency", "200000000", true); // D (bj, r)
- selectButton(RDIResource.BaseDialog_OK, "OK"); // a (r)
- // Tcl Command: 'set_property -dict [list CONFIG.FREQ_HZ {200000000}] [get_bd_pins read_add_one_0/clk]'
- dismissDialog("Customize Pin"); // r (cs)
- // TclEventType: RSB_PROPERTY_CHANGE
- // Tcl Message: set_property -dict [list CONFIG.FREQ_HZ {200000000}] [get_bd_pins read_add_one_0/clk]
- // r (cs): Customize Pin: addNotify
- // bz (r): Customize IP : addNotify
- // a (cs): Critical Messages: addNotify
- dismissDialog("Customize IP"); // bz (r)
- selectButton(PAResourceAtoD.CmdMsgDialog_OK, "OK"); // f (a)
- dismissDialog("Critical Messages"); // a (cs)
- // Run Command: RDIResourceCommand.RDICommands_DELETE
- // TclEventType: RSB_SCRIPT_TASK
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: RSB_SCRIPT_TASK
- // Tcl Message: delete_bd_objs [get_bd_intf_nets read_add_one_0_axis_out] [get_bd_intf_nets axi_dma_0_M_AXIS_MM2S] [get_bd_intf_nets ps8_0_axi_periph_M01_AXI] [get_bd_cells read_add_one_0]
- selectButton(PAResourceOtoP.PlanAheadTab_REFRESH_IP_CATALOG, "Refresh IP Catalog"); // h (ds, cs)
- // HOptionPane Warning: 'A background task is running. Please wait until it completes and try again. (Background Task)'
- selectButton("OptionPane.button", "OK"); // JButton (v, B)
- selectButton(PAResourceOtoP.PlanAheadTab_REFRESH_IP_CATALOG, "Refresh IP Catalog"); // h (ds, cs)
- // TclEventType: CREATE_IP_CATALOG
- // TclEventType: IP_LOCK_CHANGE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: BDCELL_LOCK_CHANGE
- // TclEventType: IP_LOCK_CHANGE
- // TclEventType: BDCELL_LOCK_CHANGE
- // TclEventType: CREATE_IP_CATALOG
- // bz (cs): Refresh IP Catalog : addNotify
- // TclEventType: CREATE_IP_CATALOG
- // Tcl Message: update_ip_catalog -rebuild -scan_changes
- // Tcl Message: INFO: [IP_Flow 19-234] Refreshing IP repositories
- // Tcl Message: INFO: [IP_Flow 19-1700] Loaded user IP repository '/home/lq/dma_try'.
- // TclEventType: IP_SUMMARY_RESULTS
- // Tcl Message: report_ip_status -name ip_status
- dismissDialog("Refresh IP Catalog"); // bz (cs)
- selectButton(PAResourceQtoS.SystemBuilderView_ADD_IP, "System_RSB_ADD_IP"); // E (g, cs)
- setText("PAResourceAtoD.CoreTreeTablePanel_CORE_TREE_TABLE_SEARCH_FIELD", "read"); // OverlayTextField (az, ResizableWindow)
- selectTreeTable(PAResourceAtoD.CoreTreeTablePanel_CORE_TREE_TABLE, "read_add_one_v1_0", 0, "read_add_one_v1_0", 0, false); // L (J, ResizableWindow)
- expandTreeTable(PAResourceAtoD.CoreTreeTablePanel_CORE_TREE_TABLE, "read_add_one_v1_0", 0); // L (J, ResizableWindow)
- selectTreeTable(PAResourceAtoD.CoreTreeTablePanel_CORE_TREE_TABLE, "read_add_one_v1_0", 0, "read_add_one_v1_0", 0, false, false, false, false, false, true); // L (J, ResizableWindow) - Double Click
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: DG_GRAPH_STALE
- // bz (cs): Add IP : addNotify
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // Tcl Message: startgroup
- // Tcl Message: create_bd_cell -type ip -vlnv user.org:user:read_add_one:1.0 read_add_one_0
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: RSB_ADD_OBJECT
- // Tcl Message: endgroup
- dismissDialog("Add IP"); // bz (cs)
- // Tcl Command: 'set_property location {2 485 133} [get_bd_cells read_add_one_0]'
- // TclEventType: RSB_CANVAS_LOCATION
- // Tcl Message: set_property location {2 485 133} [get_bd_cells read_add_one_0]
- // HMemoryUtils.trashcanNow. Engine heap size: 2,050 MB. GUI used memory: 172 MB. Current time: 4/24/22, 4:46:44 PM CST
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_CONNECTION_CHANGE
- // Tcl Message: connect_bd_intf_net [get_bd_intf_pins read_add_one_0/axis_out] [get_bd_intf_pins axi_dma_0/S_AXIS_S2MM]
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_CONNECTION_CHANGE
- // Tcl Message: connect_bd_intf_net [get_bd_intf_pins read_add_one_0/axis_in] [get_bd_intf_pins axi_dma_0/M_AXIS_MM2S]
- selectButton(PAResourceQtoS.RSBApplyAutomationBar_RUN_CONNECTION_AUTOMATION, "Run Connection Automation"); // h (dq, cs)
- // ai (cs): Run Connection Automation: addNotify
- selectButton(RDIResource.BaseDialog_OK, "OK"); // a (ai)
- dismissDialog("Run Connection Automation"); // ai (cs)
- // TclEventType: XGUI_UPDATE_GUI_ELEMENT
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- selectTreeTableHeader(PAResourceItoN.IPStatusTablePanel_IP_STATUS_TABLE, "License", 8); // D (J, cs)
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // Tcl Message: apply_bd_automation -rule xilinx.com:bd_rule:axi4 -config { Clk_master {/zynq_ultra_ps_e_0/pl_clk0 (200 MHz)} Clk_slave {Auto} Clk_xbar {/zynq_ultra_ps_e_0/pl_clk0 (200 MHz)} Master {/zynq_ultra_ps_e_0/M_AXI_HPM1_FPD} Slave {/read_add_one_0/s_axi} ddr_seg {Auto} intc_ip {/ps8_0_axi_periph} master_apm {0}} [get_bd_intf_pins read_add_one_0/s_axi]
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // bz (cs): Run Connection Automation : addNotify
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_CONNECTION_CHANGE
- // TclEventType: RSB_SCRIPT_TASK
- // TclEventType: XGUI_RESET_GUI_ELEMENT
- // Tcl Message: Slave segment '/read_add_one_0/s_axi/reg0' is being assigned into address space '/zynq_ultra_ps_e_0/Data' at <0xA001_0000 [ 64K ]>.
- dismissDialog("Run Connection Automation"); // bz (cs)
- selectButton(RDIResource.BaseReportTab_RERUN, "Rerun"); // h (ds, cs)
- // Tcl Command: 'report_ip_status -name ip_status '
- // TclEventType: IP_SUMMARY_RESULTS
- // Tcl Message: report_ip_status -name ip_status
- // Run Command: RDIResourceCommand.RDICommands_UNDO
- typeControlKey(null, null, 'z');
- // TclEventType: RSB_SCRIPT_TASK
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: RSB_SCRIPT_TASK
- // TclEventType: RSB_CONNECTION_CHANGE
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_REMOVE_OBJECT
- // Tcl Message: undo
- // Tcl Message: INFO: [Common 17-17] undo 'apply_bd_automation -rule xilinx.com:bd_rule:axi4 -config { Clk_master {/zynq_ultra_ps_e_0/pl_clk0 (200 MHz)} Clk_slave {Auto} Clk_xbar {/zynq_ultra_ps_e_0/pl_clk0 (200 MHz)} Master {/zynq_ultra_ps_e_0/M_AXI_HPM1_FPD} Slave {/read_add_one_0/s_axi} ddr_seg {Auto} intc_ip {/ps8_0_axi_periph} master_apm {0}} [get_bd_intf_pins read_add_one_0/s_axi]'
- // Run Command: RDIResourceCommand.RDICommands_UNDO
- typeControlKey(null, null, 'z');
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_REMOVE_OBJECT
- // Tcl Message: undo
- // Tcl Message: INFO: [Common 17-17] undo 'connect_bd_intf_net [get_bd_intf_pins read_add_one_0/axis_in] [get_bd_intf_pins axi_dma_0/M_AXIS_MM2S]'
- // Run Command: RDIResourceCommand.RDICommands_UNDO
- typeControlKey(null, null, 'z');
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_REMOVE_OBJECT
- // Tcl Message: undo
- // Tcl Message: INFO: [Common 17-17] undo 'connect_bd_intf_net [get_bd_intf_pins read_add_one_0/axis_out] [get_bd_intf_pins axi_dma_0/S_AXIS_S2MM]'
- // Run Command: PAResourceCommand.PACommandNames_CUSTOMIZE_RSB_BLOC
- // r (cs): Re-customize IP: addNotify
- dismissDialog("Re-customize IP"); // r (cs)
- // Run Command: PAResourceCommand.PACommandNames_CUSTOMIZE_RSB_PIN
- // r (cs): Customize Pin: addNotify
- dismissDialog("Customize Pin"); // r (cs)
- selectTreeTable(PAResourceTtoZ.TclObjectTreeTable_TREETABLE, "CONFIG ; ", 1, "CONFIG", 0, true); // l (C, cs) - Node
- expandTreeTable(PAResourceTtoZ.TclObjectTreeTable_TREETABLE, "CONFIG ; ", 1); // l (C, cs)
- selectTreeTable(PAResourceTtoZ.TclObjectTreeTable_TREETABLE, "FREQ_HZ ; 100000000", 5, "100000000", 1, false); // l (C, cs)
- selectTreeTable(PAResourceTtoZ.TclObjectTreeTable_TREETABLE, "FREQ_HZ ; 100000000", 5, "100000000", 1, false); // l (C, cs)
- // TclEventType: RSB_PROPERTY_CHANGE
- // Tcl Message: set_property CONFIG.FREQ_HZ 200000000 [get_bd_pins /read_add_one_0/clk]
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_CONNECTION_CHANGE
- // Tcl Message: connect_bd_intf_net [get_bd_intf_pins read_add_one_0/axis_in] [get_bd_intf_pins axi_dma_0/M_AXIS_MM2S]
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_CONNECTION_CHANGE
- // Tcl Message: connect_bd_intf_net [get_bd_intf_pins read_add_one_0/axis_out] [get_bd_intf_pins axi_dma_0/S_AXIS_S2MM]
- // Elapsed time: 16 seconds
- selectButton(PAResourceQtoS.RSBApplyAutomationBar_RUN_CONNECTION_AUTOMATION, "Run Connection Automation"); // h (dq, cs)
- // ai (cs): Run Connection Automation: addNotify
- selectButton(RDIResource.BaseDialog_OK, "OK"); // a (ai)
- dismissDialog("Run Connection Automation"); // ai (cs)
- // TclEventType: XGUI_UPDATE_GUI_ELEMENT
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // bz (cs): Run Connection Automation : addNotify
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_CONNECTION_CHANGE
- // TclEventType: RSB_SCRIPT_TASK
- // TclEventType: XGUI_RESET_GUI_ELEMENT
- // [GUI Memory]: 184 MB (+115kb) [00:49:36]
- // Tcl Message: apply_bd_automation -rule xilinx.com:bd_rule:axi4 -config { Clk_master {/zynq_ultra_ps_e_0/pl_clk0 (200 MHz)} Clk_slave {Auto} Clk_xbar {/zynq_ultra_ps_e_0/pl_clk0 (200 MHz)} Master {/zynq_ultra_ps_e_0/M_AXI_HPM1_FPD} Slave {/read_add_one_0/s_axi} ddr_seg {Auto} intc_ip {/ps8_0_axi_periph} master_apm {0}} [get_bd_intf_pins read_add_one_0/s_axi]
- // Tcl Message: Slave segment '/read_add_one_0/s_axi/reg0' is being assigned into address space '/zynq_ultra_ps_e_0/Data' at <0xA001_0000 [ 64K ]>.
- dismissDialog("Run Connection Automation"); // bz (cs)
- // Elapsed time: 12 seconds
- selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Program and Debug, Generate Bitstream]", 21, false); // u (J, cs)
- // Run Command: PAResourceCommand.PACommandNames_RUN_BITGEN
- // am (cs): Save Project: addNotify
- selectButton(PAResourceQtoS.SaveProjectUtils_SAVE, "Save"); // a (am)
- // bz (cs): Save Constraints : addNotify
- // TclEventType: RSB_SAVE_DIAGRAM
- dismissDialog("Save Project"); // am (cs)
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_SAVE_DIAGRAM
- // Tcl Message: save_bd_design
- // Tcl Message: Wrote : </home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/design_1.bd> Wrote : </home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/ui/bd_1f5defd0.ui>
- // A (cs): No Implementation Results Available: addNotify
- dismissDialog("Save Constraints"); // bz (cs)
- selectButton(RDIResource.BaseDialog_YES, "Yes"); // a (A)
- // bz (cs): Resetting Runs : addNotify
- dismissDialog("No Implementation Results Available"); // A (cs)
- // TclEventType: RUN_MODIFY
- // TclEventType: RUN_RESET
- // TclEventType: RUN_STATUS_CHANGE
- // TclEventType: RUN_RESET
- // TclEventType: RUN_MODIFY
- // Tcl Message: reset_run design_1_synth_1
- // f (cs): Launch Runs: addNotify
- selectButton(RDIResource.BaseDialog_OK, "OK"); // a (f)
- // 'cD' command handler elapsed time: 3 seconds
- // TclEventType: RSB_SCRIPT_TASK
- dismissDialog("Launch Runs"); // f (cs)
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_SCRIPT_TASK
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: RSB_SCRIPT_TASK
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: RSB_SCRIPT_TASK
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // bz (cs): Generate Bitstream : addNotify
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // Tcl Message: launch_runs impl_1 -to_step write_bitstream -jobs 18
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: RSB_SCRIPT_TASK
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_CLOSE_DIAGRAM
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_SCRIPT_TASK
- // TclEventType: RSB_SAVE_DIAGRAM
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_SAVE_DIAGRAM
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_SAVE_DIAGRAM
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_SCRIPT_TASK
- // TclEventType: FILE_SET_CHANGE
- // Tcl Message: Wrote : </home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/design_1.bd> VHDL Output written to : /home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/synth/design_1.v VHDL Output written to : /home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/sim/design_1.v VHDL Output written to : /home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/hdl/design_1_wrapper.v
- // Tcl Message: INFO: [BD 41-1029] Generation completed for the IP Integrator block ps8_0_axi_periph/xbar .
- // TclEventType: FILE_SET_CHANGE
- // Tcl Message: Exporting to file /home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/ip/design_1_axi_smc_0/bd_0/hw_handoff/design_1_axi_smc_0.hwh Generated Block Design Tcl file /home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/ip/design_1_axi_smc_0/bd_0/hw_handoff/design_1_axi_smc_0_bd.tcl Generated Hardware Definition File /home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/ip/design_1_axi_smc_0/bd_0/synth/design_1_axi_smc_0.hwdef
- // Tcl Message: INFO: [BD 41-1029] Generation completed for the IP Integrator block axi_smc . INFO: [BD 41-1029] Generation completed for the IP Integrator block read_add_one_0 .
- // Tcl Message: INFO: [BD 41-1029] Generation completed for the IP Integrator block ps8_0_axi_periph/s00_couplers/auto_ds .
- // Tcl Message: INFO: [BD 41-1029] Generation completed for the IP Integrator block ps8_0_axi_periph/s00_couplers/auto_pc .
- // Tcl Message: INFO: [BD 41-1029] Generation completed for the IP Integrator block ps8_0_axi_periph/s01_couplers/auto_ds .
- // Tcl Message: INFO: [BD 41-1029] Generation completed for the IP Integrator block ps8_0_axi_periph/s01_couplers/auto_pc .
- // Tcl Message: Exporting to file /home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/hw_handoff/design_1.hwh Generated Block Design Tcl file /home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/hw_handoff/design_1_bd.tcl Generated Hardware Definition File /home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/synth/design_1.hwdef
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RUN_LAUNCH
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RUN_LAUNCH
- // TclEventType: RUN_MODIFY
- // TclEventType: RUN_STATUS_CHANGE
- // TclEventType: RUN_MODIFY
- // Tcl Message: [Sun Apr 24 16:47:55 2022] Launched design_1_synth_1, synth_1... Run output will be captured here: design_1_synth_1: /home/lq/dma_try/dma_try.runs/design_1_synth_1/runme.log synth_1: /home/lq/dma_try/dma_try.runs/synth_1/runme.log [Sun Apr 24 16:47:55 2022] Launched impl_1... Run output will be captured here: /home/lq/dma_try/dma_try.runs/impl_1/runme.log
- // Tcl Message: launch_runs: Time (s): cpu = 00:00:14 ; elapsed = 00:00:14 . Memory (MB): peak = 7799.711 ; gain = 0.000 ; free physical = 80643 ; free virtual = 140309
- // Elapsed time: 14 seconds
- dismissDialog("Generate Bitstream"); // bz (cs)
- // TclEventType: RUN_STATUS_CHANGE
- // Elapsed time: 21 seconds
- selectButton(RDIResource.BaseReportTab_RERUN, "Rerun"); // h (ds, cs)
- // Tcl Command: 'report_ip_status -name ip_status '
- // TclEventType: IP_SUMMARY_RESULTS
- // Tcl Message: report_ip_status -name ip_status
- selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Program and Debug, Generate Bitstream]", 21, false); // u (J, cs)
- // Run Command: PAResourceCommand.PACommandNames_RUN_BITGEN
- // A (cs): No Implementation Results Available: addNotify
- selectButton(RDIResource.BaseDialog_YES, "Yes"); // a (A)
- // bz (cs): Resetting Runs : addNotify
- // TclEventType: RUN_MODIFY
- dismissDialog("No Implementation Results Available"); // A (cs)
- // TclEventType: RUN_RESET
- // TclEventType: RUN_STATUS_CHANGE
- // TclEventType: RUN_RESET
- // TclEventType: RUN_STATUS_CHANGE
- // TclEventType: RUN_RESET
- // TclEventType: RUN_MODIFY
- // Tcl Message: reset_run synth_1
- // f (cs): Launch Runs: addNotify
- selectButton(RDIResource.BaseDialog_OK, "OK"); // a (f)
- // 'cD' command handler elapsed time: 4 seconds
- // TclEventType: RUN_LAUNCH
- dismissDialog("Launch Runs"); // f (cs)
- // TclEventType: RUN_MODIFY
- // TclEventType: RUN_STATUS_CHANGE
- // TclEventType: RUN_MODIFY
- // Tcl Message: launch_runs impl_1 -to_step write_bitstream -jobs 18
- // Tcl Message: [Sun Apr 24 16:48:26 2022] Launched design_1_synth_1, synth_1... Run output will be captured here: design_1_synth_1: /home/lq/dma_try/dma_try.runs/design_1_synth_1/runme.log synth_1: /home/lq/dma_try/dma_try.runs/synth_1/runme.log [Sun Apr 24 16:48:26 2022] Launched impl_1... Run output will be captured here: /home/lq/dma_try/dma_try.runs/impl_1/runme.log
- // bz (cs): Generate Bitstream : addNotify
- dismissDialog("Generate Bitstream"); // bz (cs)
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RUN_STATUS_CHANGE
- // TclEventType: RUN_COMPLETED
- // TclEventType: RUN_STATUS_CHANGE
- // TclEventType: RUN_STEP_COMPLETED
- // HMemoryUtils.trashcanNow. Engine heap size: 2,080 MB. GUI used memory: 113 MB. Current time: 4/24/22, 4:50:39 PM CST
- // TclEventType: RUN_COMPLETED
- // TclEventType: RUN_STATUS_CHANGE
- // TclEventType: RUN_STEP_COMPLETED
- // TclEventType: RUN_STATUS_CHANGE
- // TclEventType: RUN_STEP_COMPLETED
- // TclEventType: RUN_COMPLETED
- // TclEventType: RUN_STATUS_CHANGE
- // ag (cs): Bitstream Generation Completed: addNotify
- // Elapsed time: 350 seconds
- dismissDialog("Bitstream Generation Completed"); // ag (cs)
- selectMenu(PAResourceItoN.MainMenuMgr_FILE, "File"); // aa (q, cs)
- selectMenu(PAResourceItoN.MainMenuMgr_PROJECT, "Project"); // af (cs)
- selectMenu(PAResourceItoN.MainMenuMgr_CHECKPOINT, "Checkpoint"); // af (cs)
- selectMenu(PAResourceItoN.MainMenuMgr_IP, "IP"); // af (cs)
- selectMenu(PAResourceItoN.MainMenuMgr_TEXT_EDITOR, "Text Editor"); // af (cs)
- selectMenu(PAResourceItoN.MainMenuMgr_EXPORT, "Export"); // af (cs)
- selectMenuItem(PAResourceCommand.PACommandNames_EXPORT_BD_TCL, "Export Block Design..."); // ai (cs)
- dismissMenu(PAResourceItoN.MainMenuMgr_FILE, "File"); // aa (q, cs)
- // Run Command: PAResourceCommand.PACommandNames_EXPORT_BD_TCL
- // ck (cs): Export Block Design: addNotify
- selectButton(RDIResource.BaseDialog_CANCEL, "Cancel"); // a (ck)
- dismissDialog("Export Block Design"); // ck (cs)
- selectMenu(PAResourceItoN.MainMenuMgr_FILE, "File"); // aa (q, cs)
- selectMenu(PAResourceItoN.MainMenuMgr_PROJECT, "Project"); // af (cs)
- selectMenu(PAResourceItoN.MainMenuMgr_CHECKPOINT, "Checkpoint"); // af (cs)
- selectMenu(PAResourceItoN.MainMenuMgr_IP, "IP"); // af (cs)
- selectMenu(PAResourceItoN.MainMenuMgr_TEXT_EDITOR, "Text Editor"); // af (cs)
- selectMenu(PAResourceItoN.MainMenuMgr_EXPORT, "Export"); // af (cs)
- selectMenuItem(PAResourceCommand.PACommandNames_EXPORT_HARDWARE, "Export Hardware..."); // ai (cs)
- dismissMenu(PAResourceItoN.MainMenuMgr_FILE, "File"); // aa (q, cs)
- // Run Command: PAResourceCommand.PACommandNames_EXPORT_HARDWARE
- // Tcl Command: 'get_property pfm_name [get_files -all {/home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/design_1.bd}]'
- // Tcl Command: 'get_property pfm_name [get_files -all {/home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/design_1.bd}]'
- // k (cs): Export Hardware Platform: addNotify
- selectButton("NEXT", "Next >"); // JButton (j, k)
- selectRadioButton((HResource) null, "Include bitstream. This platform includes the complete hardware implementation and bitstream, in addition to the hardware specification for software tools."); // b (a, k)
- selectButton("NEXT", "Next >"); // JButton (j, k)
- selectButton("NEXT", "Next >"); // JButton (j, k)
- selectButton("OptionPane.button", "Yes"); // JButton (v, C)
- // Tcl Command: 'get_property pfm_name [get_files -all {/home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/design_1.bd}]'
- // Tcl Command: 'set_property pfm_name {} [get_files -all {/home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/design_1.bd}]'
- selectButton("FINISH", "Finish"); // JButton (j, k)
- // 'm' command handler elapsed time: 7 seconds
- // Tcl Message: set_property pfm_name {} [get_files -all {/home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/design_1.bd}]
- dismissDialog("Export Hardware Platform"); // k (cs)
- // Tcl Message: write_hw_platform -fixed -include_bit -force -file /home/lq/dma_try/design_1_wrapper.xsa
- // Tcl Message: INFO: [Vivado 12-4895] Creating Hardware Platform: /home/lq/dma_try/design_1_wrapper.xsa ...
- // bz (cs): Export Hardware Platform : addNotify
- // HMemoryUtils.trashcanNow. Engine heap size: 2,114 MB. GUI used memory: 111 MB. Current time: 4/24/22, 4:54:39 PM CST
- // Tcl Message: INFO: [Vivado 12-4896] Successfully created Hardware Platform: /home/lq/dma_try/design_1_wrapper.xsa
- // Tcl Message: write_hw_platform: Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 7799.711 ; gain = 0.000 ; free physical = 80528 ; free virtual = 140273
- dismissDialog("Export Hardware Platform"); // bz (cs)
- selectMenu(PAResourceItoN.MainMenuMgr_EDIT, "Edit"); // aa (q, cs)
- dismissMenu(PAResourceItoN.MainMenuMgr_EDIT, "Edit"); // aa (q, cs)
- selectMenu(PAResourceItoN.MainMenuMgr_FILE, "File"); // aa (q, cs)
- dismissMenu(PAResourceItoN.MainMenuMgr_FILE, "File"); // aa (q, cs)
- selectMenu(PAResourceItoN.MainMenuMgr_FILE, "File"); // aa (q, cs)
- selectMenu(PAResourceItoN.MainMenuMgr_PROJECT, "Project"); // af (cs)
- selectMenu(PAResourceItoN.MainMenuMgr_CHECKPOINT, "Checkpoint"); // af (cs)
- selectMenu(PAResourceItoN.MainMenuMgr_IP, "IP"); // af (cs)
- selectMenu(PAResourceItoN.MainMenuMgr_CHECKPOINT, "Checkpoint"); // af (cs)
- selectMenu(PAResourceItoN.MainMenuMgr_IP, "IP"); // af (cs)
- selectMenu(PAResourceItoN.MainMenuMgr_TEXT_EDITOR, "Text Editor"); // af (cs)
- selectMenu(PAResourceItoN.MainMenuMgr_EXPORT, "Export"); // af (cs)
- selectMenu(PAResourceItoN.MainMenuMgr_EXPORT, "Export"); // af (cs)
- selectMenuItem(PAResourceCommand.PACommandNames_EXPORT_BITSTREAM_FILES, "Export Bitstream File..."); // ai (cs)
- dismissMenu(PAResourceItoN.MainMenuMgr_FILE, "File"); // aa (q, cs)
- // Run Command: PAResourceCommand.PACommandNames_EXPORT_BITSTREAM_FILES
- setFileChooser("/home/lq/dma_try/dma_try.bit");
- // 'a' command handler elapsed time: 4 seconds
- selectButton("OptionPane.button", "OK"); // JButton (v, B)
- // Tcl Message: file copy -force /home/lq/dma_try/dma_try.runs/impl_1/design_1_wrapper.bit /home/lq/dma_try/dma_try.bit
- selectButton("OptionPane.button", "OK"); // JButton (v, B)
- // Elapsed time: 140 seconds
- selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "read_add_one.v", 1); // m (l, cs)
- // Elapsed time: 28 seconds
- setText(RDIResource.HCodeEditor_SEARCH_TEXT_COMBO_BOX, (String) null); // l (au, cs)
- selectCodeEditor("read_add_one.v", 201, 296); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 122, 335); // bP (w, cs)
- selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Project Manager, IP Catalog]", 4, false); // u (J, cs)
- // Run Command: PAResourceCommand.PACommandNames_CORE_GEN
- // Elapsed time: 10 seconds
- setText("PAResourceAtoD.CoreTreeTablePanel_CORE_TREE_TABLE_SEARCH_FIELD", "fifo"); // OverlayTextField (az, cs)
- selectTreeTable(PAResourceAtoD.CoreTreeTablePanel_CORE_TREE_TABLE, "FIFO Generator ; AXI4, AXI4-Stream ; Production ; Included ; xilinx.com:ip:fifo_generator:13.2", 12, "FIFO Generator", 0, false); // L (J, cs)
- selectTreeTable(PAResourceAtoD.CoreTreeTablePanel_CORE_TREE_TABLE, "FIFO Generator ; AXI4, AXI4-Stream ; Production ; Included ; xilinx.com:ip:fifo_generator:13.2", 12, "FIFO Generator", 0, false, false, false, false, false, true); // L (J, cs) - Double Click
- // Run Command: PAResourceCommand.PACommandNames_CUSTOMIZE_CORE
- selectButton("OptionPane.button", "Customize IP"); // JButton (v, B)
- // r (cs): Customize IP: addNotify
- // Elapsed time: 17 seconds
- selectTab(PAResourceEtoH.HACGCTabbedPane_TABBED_PANE, (HResource) null, "Native Ports", 1); // bi (E, r)
- selectTab(PAResourceEtoH.HACGCTabbedPane_TABBED_PANE, (HResource) null, "Status Flags", 2); // bi (E, r)
- selectTab(PAResourceEtoH.HACGCTabbedPane_TABBED_PANE, (HResource) null, "Summary", 3); // bi (E, r)
- selectTab(PAResourceEtoH.HACGCTabbedPane_TABBED_PANE, (HResource) null, "Status Flags", 2); // bi (E, r)
- selectTab(PAResourceEtoH.HACGCTabbedPane_TABBED_PANE, (HResource) null, "Native Ports", 1); // bi (E, r)
- setText("Input Data Width", "32"); // D (bj, r)
- selectTab(PAResourceEtoH.HACGCTabbedPane_TABBED_PANE, (HResource) null, "Basic", 0); // bi (E, r)
- selectComboBox("Fifo Implementation (Fifo_Implementation)", "Common Clock Block RAM", 1); // E (E, r)
- selectTab(PAResourceEtoH.HACGCTabbedPane_TABBED_PANE, (HResource) null, "Native Ports", 1); // bi (E, r)
- selectComboBox("Write Depth (Input_Depth)", "16", 0); // E (E, r)
- selectCheckBox((HResource) null, "Output Registers", false); // g (o, r): FALSE
- // Elapsed time: 29 seconds
- selectTab(PAResourceEtoH.HACGCTabbedPane_TABBED_PANE, (HResource) null, "Status Flags", 2); // bi (E, r)
- selectCheckBox((HResource) null, "Almost Full Flag", true); // g (o, r): TRUE
- // Elapsed time: 11 seconds
- selectCheckBox((HResource) null, "Write Acknowledge", true); // g (o, r): TRUE
- selectCheckBox((HResource) null, "Write Acknowledge", false); // g (o, r): FALSE
- selectButton(RDIResource.BaseDialog_OK, "OK"); // a (r)
- // TclEventType: CREATE_IP_CORE
- dismissDialog("Customize IP"); // r (cs)
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // bz (cs): Customize IP : addNotify
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: DG_GRAPH_STALE
- // Tcl Message: create_ip -name fifo_generator -vendor xilinx.com -library ip -version 13.2 -module_name fifo_generator_0
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: FILESET_UPDATE_IP
- // Tcl Message: set_property -dict [list CONFIG.Fifo_Implementation {Common_Clock_Block_RAM} CONFIG.Input_Data_Width {32} CONFIG.Input_Depth {16} CONFIG.Output_Data_Width {32} CONFIG.Output_Depth {16} CONFIG.Use_Embedded_Registers {false} CONFIG.Almost_Full_Flag {true} CONFIG.Write_Acknowledge_Flag {false} CONFIG.Data_Count_Width {4} CONFIG.Write_Data_Count_Width {4} CONFIG.Read_Data_Count_Width {4} CONFIG.Full_Threshold_Assert_Value {14} CONFIG.Full_Threshold_Negate_Value {13}] [get_ips fifo_generator_0]
- // TclEventType: FILE_SET_CHANGE
- // Tcl Message: generate_target {instantiation_template} [get_files /home/lq/dma_try/dma_try.srcs/sources_1/ip/fifo_generator_0/fifo_generator_0.xci]
- // Tcl Message: INFO: [IP_Flow 19-1686] Generating 'Instantiation Template' target for IP 'fifo_generator_0'...
- // aI (cs): Generate Output Products: addNotify
- dismissDialog("Customize IP"); // bz (cs)
- // [Engine Memory]: 2,156 MB (+838kb) [01:01:45]
- selectButton(PAResourceQtoS.SimpleOutputProductDialog_GENERATE_OUTPUT_PRODUCTS_IMMEDIATELY, "Generate"); // a (aI)
- // bz (cs): Managing Output Products : addNotify
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- // Tcl Message: generate_target all [get_files /home/lq/dma_try/dma_try.srcs/sources_1/ip/fifo_generator_0/fifo_generator_0.xci]
- // Tcl Message: INFO: [IP_Flow 19-1686] Generating 'Synthesis' target for IP 'fifo_generator_0'... INFO: [IP_Flow 19-1686] Generating 'Simulation' target for IP 'fifo_generator_0'... INFO: [IP_Flow 19-1686] Generating 'Change Log' target for IP 'fifo_generator_0'...
- // Tcl Message: catch { config_ip_cache -export [get_ips -all fifo_generator_0] }
- // Tcl Message: export_ip_user_files -of_objects [get_files /home/lq/dma_try/dma_try.srcs/sources_1/ip/fifo_generator_0/fifo_generator_0.xci] -no_script -sync -force -quiet
- // TclEventType: FILE_SET_NEW
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RUN_ADD
- // Tcl Message: create_ip_run [get_files -of_objects [get_fileset sources_1] /home/lq/dma_try/dma_try.srcs/sources_1/ip/fifo_generator_0/fifo_generator_0.xci]
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RUN_LAUNCH
- // TclEventType: RUN_MODIFY
- // TclEventType: RUN_STATUS_CHANGE
- // Tcl Message: launch_runs fifo_generator_0_synth_1 -jobs 18
- // Tcl Message: [Sun Apr 24 16:59:36 2022] Launched fifo_generator_0_synth_1... Run output will be captured here: /home/lq/dma_try/dma_try.runs/fifo_generator_0_synth_1/runme.log
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: PROJECT_CHANGE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: PROJECT_CHANGE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: PROJECT_CHANGE
- // TclEventType: FILE_SET_CHANGE
- // Tcl Message: export_simulation -of_objects [get_files /home/lq/dma_try/dma_try.srcs/sources_1/ip/fifo_generator_0/fifo_generator_0.xci] -directory /home/lq/dma_try/dma_try.ip_user_files/sim_scripts -ip_user_files_dir /home/lq/dma_try/dma_try.ip_user_files -ipstatic_source_dir /home/lq/dma_try/dma_try.ip_user_files/ipstatic -lib_map_path [list {modelsim=/home/lq/dma_try/dma_try.cache/compile_simlib/modelsim} {questa=/home/lq/dma_try/dma_try.cache/compile_simlib/questa} {ies=/home/lq/dma_try/dma_try.cache/compile_simlib/ies} {xcelium=/home/lq/dma_try/dma_try.cache/compile_simlib/xcelium} {vcs=/home/lq/dma_try/dma_try.cache/compile_simlib/vcs} {riviera=/home/lq/dma_try/dma_try.cache/compile_simlib/riviera}] -use_ip_compiled_libs -force -quiet
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: PROJECT_CHANGE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: PROJECT_CHANGE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: PROJECT_CHANGE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: PROJECT_CHANGE
- // TclEventType: RUN_STATUS_CHANGE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: PROJECT_CHANGE
- // HMemoryUtils.trashcanNow. Engine heap size: 2,169 MB. GUI used memory: 130 MB. Current time: 4/24/22, 4:59:39 PM CST
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_UNLOAD_CORE
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_UNLOAD_CORE
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_UNLOAD_CORE
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_UNLOAD_CORE
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_UNLOAD_CORE
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_UNLOAD_CORE
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_UNLOAD_CORE
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_UNLOAD_CORE
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_UNLOAD_CORE
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_UNLOAD_CORE
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_UNLOAD_CORE
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_UNLOAD_CORE
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_UNLOAD_CORE
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_UNLOAD_CORE
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_UNLOAD_CORE
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_UNLOAD_CORE
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_UNLOAD_CORE
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_UNLOAD_CORE
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_UNLOAD_CORE
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_UNLOAD_CORE
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_UNLOAD_CORE
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_UNLOAD_CORE
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_UNLOAD_CORE
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_UNLOAD_CORE
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_UNLOAD_CORE
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_UNLOAD_CORE
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_UNLOAD_CORE
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_UNLOAD_CORE
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_UNLOAD_CORE
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_UNLOAD_CORE
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_UNLOAD_CORE
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_UNLOAD_CORE
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_UNLOAD_CORE
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_UNLOAD_CORE
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_UNLOAD_CORE
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_UNLOAD_CORE
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_UNLOAD_CORE
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_UNLOAD_CORE
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_UNLOAD_CORE
- // Elapsed time: 15 seconds
- selectButton("OptionPane.button", "OK"); // JButton (v, B)
- // HMemoryUtils.trashcanNow. Engine heap size: 2,188 MB. GUI used memory: 129 MB. Current time: 4/24/22, 4:59:54 PM CST
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "read_add_one.v", 1); // m (l, cs)
- selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "IP Catalog", 2); // m (l, cs)
- selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "read_add_one.v", 1); // m (l, cs)
- selectButton(RDIResource.BaseReportTab_RERUN, "Rerun"); // h (ds, cs)
- // Tcl Command: 'report_ip_status -name ip_status '
- // TclEventType: IP_SUMMARY_RESULTS
- // Tcl Message: report_ip_status -name ip_status
- selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "IP Catalog", 2); // m (l, cs)
- // Elapsed time: 33 seconds
- selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "read_add_one.v", 1); // m (l, cs)
- selectCodeEditor("read_add_one.v", 159, 155); // bP (w, cs)
- selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "System", 0); // m (l, cs)
- // TclEventType: FILE_SET_CHANGE
- selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "read_add_one.v", 1); // m (l, cs)
- // TclEventType: RUN_COMPLETED
- // TclEventType: RUN_STATUS_CHANGE
- // TclEventType: RUN_STEP_COMPLETED
- selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "IP Catalog", 2); // m (l, cs)
- selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "read_add_one.v", 1); // m (l, cs)
- selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, fifo_generator_0 (fifo_generator_0.xci)]", 4, false); // B (F, cs)
- selectTab(PAResourceEtoH.FileSetView_TABBED_PANE, (HResource) null, "IP Sources", 1); // i (N, cs)
- expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, IP, fifo_generator_0]", 1); // B (F, cs)
- expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, IP, fifo_generator_0, Instantiation Template]", 2); // B (F, cs)
- selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, IP, fifo_generator_0, Instantiation Template, fifo_generator_0.veo]", 4, false); // B (F, cs)
- selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, IP, fifo_generator_0, Instantiation Template, fifo_generator_0.veo]", 4, false, false, false, false, false, true); // B (F, cs) - Double Click
- selectCodeEditor("fifo_generator_0.veo", 33, 334); // G (w, cs)
- typeControlKey((HResource) null, "fifo_generator_0.veo", 'c'); // G (w, cs)
- selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "IP Catalog", 2); // m (l, cs)
- selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "read_add_one.v", 1); // m (l, cs)
- selectCodeEditor("read_add_one.v", 50, 191); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 42, 202); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 22, 213); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 11, 380); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 4, 308); // bP (w, cs)
- typeControlKey((HResource) null, "read_add_one.v", 'v'); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 191, 225); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 188, 218); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 62, 192); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 62, 192, false, false, false, false, true); // bP (w, cs) - Double Click
- // Elapsed time: 11 seconds
- selectCodeEditor("read_add_one.v", 149, 275); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 149, 275, false, false, false, false, true); // bP (w, cs) - Double Click
- typeControlKey((HResource) null, "read_add_one.v", 'c'); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 123, 286); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 48, 292); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 152, 400); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 58, 397); // bP (w, cs)
- typeControlKey(null, null, 'z');
- selectCodeEditor("read_add_one.v", 51, 391); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 52, 268); // bP (w, cs)
- typeControlKey((HResource) null, "read_add_one.v", 'v'); // bP (w, cs)
- // Elapsed time: 14 seconds
- selectCodeEditor("read_add_one.v", 188, 280); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 41, 242); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 30, 483); // bP (w, cs)
- typeControlKey((HResource) null, "read_add_one.v", 'c'); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 46, 249); // bP (w, cs)
- typeControlKey((HResource) null, "read_add_one.v", 'v'); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 85, 452); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 78, 114); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 78, 114, false, false, false, false, true); // bP (w, cs) - Double Click
- selectCodeEditor("read_add_one.v", 38, 218); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 62, 270); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 62, 270, false, false, false, false, true); // bP (w, cs) - Double Click
- typeControlKey((HResource) null, "read_add_one.v", 'c'); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 85, 212); // bP (w, cs)
- typeControlKey((HResource) null, "read_add_one.v", 'v'); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 58, 218); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 80, 226); // bP (w, cs)
- // Elapsed time: 13 seconds
- selectCodeEditor("read_add_one.v", 77, 331); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 77, 331, false, false, false, false, true); // bP (w, cs) - Double Click
- typeControlKey((HResource) null, "read_add_one.v", 'c'); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 76, 251); // bP (w, cs)
- typeControlKey((HResource) null, "read_add_one.v", 'v'); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 80, 340); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 80, 340, false, false, false, false, true); // bP (w, cs) - Double Click
- typeControlKey((HResource) null, "read_add_one.v", 'c'); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 78, 255); // bP (w, cs)
- typeControlKey((HResource) null, "read_add_one.v", 'v'); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 121, 407); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 121, 407, false, false, false, false, true); // bP (w, cs) - Double Click
- typeControlKey((HResource) null, "read_add_one.v", 'c'); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 70, 276); // bP (w, cs)
- typeControlKey((HResource) null, "read_add_one.v", 'v'); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 82, 440); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 82, 440, false, false, false, false, true); // bP (w, cs) - Double Click
- typeControlKey((HResource) null, "read_add_one.v", 'c'); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 93, 290); // bP (w, cs)
- typeControlKey((HResource) null, "read_add_one.v", 'v'); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 150, 462); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 150, 462, false, false, false, false, true); // bP (w, cs) - Double Click
- selectCodeEditor("read_add_one.v", 140, 475); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 140, 475, false, false, false, false, true); // bP (w, cs) - Double Click
- selectCodeEditor("read_add_one.v", 112, 294); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 99, 277); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 99, 277, false, false, false, false, true); // bP (w, cs) - Double Click
- typeControlKey((HResource) null, "read_add_one.v", 'c'); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 103, 353); // bP (w, cs)
- typeControlKey((HResource) null, "read_add_one.v", 'v'); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 31, 356); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 80, 414); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 80, 414, false, false, false, false, true); // bP (w, cs) - Double Click
- typeControlKey((HResource) null, "read_add_one.v", 'c'); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 32, 356); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 28, 356); // bP (w, cs)
- typeControlKey((HResource) null, "read_add_one.v", 'v'); // bP (w, cs)
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- selectCodeEditor("read_add_one.v", 246, 355); // bP (w, cs)
- // HMemoryUtils.trashcanNow. Engine heap size: 2,221 MB. GUI used memory: 129 MB. Current time: 4/24/22, 5:04:04 PM CST
- // Elapsed time: 10 seconds
- selectCodeEditor("read_add_one.v", 92, 354); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 92, 354, false, false, false, false, true); // bP (w, cs) - Double Click
- typeControlKey((HResource) null, "read_add_one.v", 'c'); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 49, 373); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 50, 366); // bP (w, cs)
- typeControlKey((HResource) null, "read_add_one.v", 'v'); // bP (w, cs)
- typeControlKey((HResource) null, "read_add_one.v", 'v'); // bP (w, cs)
- typeControlKey(null, null, 'z');
- typeControlKey(null, null, 'z');
- typeControlKey((HResource) null, "read_add_one.v", 'v'); // bP (w, cs)
- typeControlKey(null, null, 'z');
- typeControlKey(null, null, 'z');
- selectCodeEditor("read_add_one.v", 107, 362); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 107, 362, false, false, false, false, true); // bP (w, cs) - Double Click
- typeControlKey((HResource) null, "read_add_one.v", 'c'); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 177, 366); // bP (w, cs)
- typeControlKey((HResource) null, "read_add_one.v", 'v'); // bP (w, cs)
- // Elapsed time: 50 seconds
- selectCodeEditor("read_add_one.v", 28, 327); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 118, 39); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 117, 39, false, false, false, false, true); // bP (w, cs) - Double Click
- typeControlKey((HResource) null, "read_add_one.v", 'c'); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 78, 397); // bP (w, cs)
- typeControlKey((HResource) null, "read_add_one.v", 'v'); // bP (w, cs)
- // Elapsed time: 11 seconds
- selectCodeEditor("read_add_one.v", 220, 341); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 220, 341, false, false, false, false, true); // bP (w, cs) - Double Click
- typeControlKey((HResource) null, "read_add_one.v", 'c'); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 114, 173); // bP (w, cs)
- typeControlKey((HResource) null, "read_add_one.v", 'v'); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 360, 345); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 360, 345, false, false, false, false, true); // bP (w, cs) - Double Click
- selectCodeEditor("read_add_one.v", 349, 338); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 349, 338, false, false, false, false, true); // bP (w, cs) - Double Click
- typeControlKey((HResource) null, "read_add_one.v", 'c'); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 232, 163); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 238, 172); // bP (w, cs)
- typeControlKey((HResource) null, "read_add_one.v", 'v'); // bP (w, cs)
- // Elapsed time: 97 seconds
- selectCodeEditor("read_add_one.v", 53, 382); // bP (w, cs)
- // Elapsed time: 12 seconds
- selectCodeEditor("read_add_one.v", 158, 307); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 27, 403); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 35, 423); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 43, 415); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 43, 383); // bP (w, cs)
- typeControlKey((HResource) null, "read_add_one.v", 'c'); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 29, 415); // bP (w, cs)
- typeControlKey((HResource) null, "read_add_one.v", 'v'); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 80, 423); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 80, 423, false, false, false, false, true); // bP (w, cs) - Double Click
- // Elapsed time: 70 seconds
- selectCodeEditor("read_add_one.v", 72, 382); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 186, 416); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 186, 416, false, false, false, false, true); // bP (w, cs) - Double Click
- typeControlKey((HResource) null, "read_add_one.v", 'c'); // bP (w, cs)
- typeControlKey((HResource) null, "read_add_one.v", 'c'); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 179, 295); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 179, 295, false, false, false, false, true); // bP (w, cs) - Double Click
- typeControlKey((HResource) null, "read_add_one.v", 'c'); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 68, 83); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 68, 83, false, false, false, false, true); // bP (w, cs) - Double Click
- typeControlKey((HResource) null, "read_add_one.v", 'c'); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 72, 65); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 72, 65, false, false, false, false, true); // bP (w, cs) - Double Click
- typeControlKey((HResource) null, "read_add_one.v", 'c'); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 85, 431); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 84, 431, false, false, false, false, true); // bP (w, cs) - Double Click
- typeControlKey((HResource) null, "read_add_one.v", 'v'); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 68, 115); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 68, 115, false, false, false, false, true); // bP (w, cs) - Double Click
- typeControlKey((HResource) null, "read_add_one.v", 'c'); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 153, 467); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 153, 467, false, false, false, false, true); // bP (w, cs) - Double Click
- typeControlKey((HResource) null, "read_add_one.v", 'v'); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 139, 485); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 139, 485, false, false, false, false, true); // bP (w, cs) - Double Click
- selectCodeEditor("read_add_one.v", 138, 477); // bP (w, cs)
- // Elapsed time: 137 seconds
- selectCodeEditor("read_add_one.v", 78, 157); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 78, 157, false, false, false, false, true); // bP (w, cs) - Double Click
- typeControlKey(null, null, 'z');
- typeControlKey(null, null, 'z');
- typeControlKey(null, null, 'z');
- selectCodeEditor("read_add_one.v", 45, 164); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 45, 164, false, false, false, false, true); // bP (w, cs) - Double Click
- selectCodeEditor("read_add_one.v", 33, 152); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 38, 144); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 38, 144, false, false, false, false, true); // bP (w, cs) - Double Click
- selectCodeEditor("read_add_one.v", 41, 99); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 41, 99, false, false, false, false, true); // bP (w, cs) - Double Click
- // Elapsed time: 15 seconds
- selectCodeEditor("read_add_one.v", 103, 439); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 103, 439, false, false, false, false, true); // bP (w, cs) - Double Click
- selectCodeEditor("read_add_one.v", 275, 457); // bP (w, cs)
- // Elapsed time: 10 seconds
- selectCodeEditor("read_add_one.v", 82, 143); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 82, 143, false, false, false, false, true); // bP (w, cs) - Double Click
- selectCodeEditor("read_add_one.v", 63, 455); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 63, 455, false, false, false, false, true); // bP (w, cs) - Double Click
- selectCodeEditor("read_add_one.v", 108, 348); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 108, 348, false, false, false, false, true); // bP (w, cs) - Double Click
- // Elapsed time: 12 seconds
- selectCodeEditor("read_add_one.v", 157, 145); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 157, 145, false, false, false, false, true); // bP (w, cs) - Double Click
- typeControlKey((HResource) null, "read_add_one.v", 'c'); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 107, 113); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 166, 119); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 166, 119, false, false, false, false, true); // bP (w, cs) - Double Click
- typeControlKey((HResource) null, "read_add_one.v", 'c'); // bP (w, cs)
- // Elapsed time: 10 seconds
- selectCodeEditor("read_add_one.v", 137, 0); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 267, 413); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 67, 188); // bP (w, cs)
- typeControlKey((HResource) null, "read_add_one.v", 'v'); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 37, 185); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 33, 215); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 32, 217, false, false, false, false, true); // bP (w, cs) - Double Click
- selectCodeEditor("read_add_one.v", 30, 215); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 233, 220); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 229, 263); // bP (w, cs)
- // Elapsed time: 40 seconds
- selectCodeEditor("read_add_one.v", 192, 304); // bP (w, cs)
- // Elapsed time: 21 seconds
- selectCodeEditor("read_add_one.v", 86, 280); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 197, 288); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 231, 264); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 236, 269); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 237, 271); // bP (w, cs)
- // Elapsed time: 63 seconds
- selectCodeEditor("read_add_one.v", 143, 278); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 143, 278, false, false, false, false, true); // bP (w, cs) - Double Click
- typeControlKey((HResource) null, "read_add_one.v", 'c'); // bP (w, cs)
- typeControlKey((HResource) null, "read_add_one.v", 'v'); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 120, 279); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 120, 278, false, false, false, false, true); // bP (w, cs) - Double Click
- typeControlKey((HResource) null, "read_add_one.v", 'v'); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 107, 263); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 107, 263, false, false, false, false, true); // bP (w, cs) - Double Click
- typeControlKey((HResource) null, "read_add_one.v", 'c'); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 105, 281); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 112, 274); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 112, 274, false, false, false, false, true); // bP (w, cs) - Double Click
- typeControlKey((HResource) null, "read_add_one.v", 'v'); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 156, 278); // bP (w, cs)
- // Elapsed time: 13 seconds
- selectCodeEditor("read_add_one.v", 88, 262); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 88, 262, false, false, false, false, true); // bP (w, cs) - Double Click
- typeControlKey((HResource) null, "read_add_one.v", 'c'); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 172, 264); // bP (w, cs)
- typeControlKey((HResource) null, "read_add_one.v", 'v'); // bP (w, cs)
- // Elapsed time: 22 seconds
- selectCodeEditor("read_add_one.v", 154, 283); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 156, 277); // bP (w, cs)
- // Elapsed time: 77 seconds
- selectCodeEditor("read_add_one.v", 121, 278); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 121, 278, false, false, false, false, true); // bP (w, cs) - Double Click
- selectCodeEditor("read_add_one.v", 135, 351); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 135, 351, false, false, false, false, true); // bP (w, cs) - Double Click
- typeControlKey((HResource) null, "read_add_one.v", 'c'); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 213, 324); // bP (w, cs)
- typeControlKey((HResource) null, "read_add_one.v", 'v'); // bP (w, cs)
- typeControlKey(null, null, 'z');
- selectCodeEditor("read_add_one.v", 219, 325); // bP (w, cs)
- typeControlKey((HResource) null, "read_add_one.v", 'v'); // bP (w, cs)
- // Elapsed time: 23 seconds
- selectCodeEditor("read_add_one.v", 202, 338); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 185, 339); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 188, 339); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 209, 341); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 205, 290); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 92, 301); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 92, 304, false, false, false, false, true); // bP (w, cs) - Double Click
- typeControlKey((HResource) null, "read_add_one.v", 'c'); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 203, 254); // bP (w, cs)
- typeControlKey((HResource) null, "read_add_one.v", 'v'); // bP (w, cs)
- // Elapsed time: 14 seconds
- selectCodeEditor("read_add_one.v", 78, 323); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 78, 323, false, false, false, false, true); // bP (w, cs) - Double Click
- selectCodeEditor("read_add_one.v", 88, 369); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 88, 369, false, false, false, false, true); // bP (w, cs) - Double Click
- // Elapsed time: 87 seconds
- selectCodeEditor("read_add_one.v", 114, 368); // bP (w, cs)
- // Elapsed time: 13 seconds
- selectCodeEditor("read_add_one.v", 44, 343); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 44, 343, false, false, false, false, true); // bP (w, cs) - Double Click
- typeControlKey((HResource) null, "read_add_one.v", 'c'); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 88, 427); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 84, 430); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 86, 442); // bP (w, cs)
- // Elapsed time: 53 seconds
- selectCodeEditor("read_add_one.v", 195, 215); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 195, 215, false, false, false, false, true); // bP (w, cs) - Double Click
- // Elapsed time: 13 seconds
- selectCodeEditor("read_add_one.v", 203, 276); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 203, 276, false, false, false, false, true); // bP (w, cs) - Double Click
- typeControlKey((HResource) null, "read_add_one.v", 'c'); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 150, 305); // bP (w, cs)
- typeControlKey((HResource) null, "read_add_one.v", 'v'); // bP (w, cs)
- // Elapsed time: 31 seconds
- selectCodeEditor("read_add_one.v", 239, 271); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 239, 271, false, false, false, false, true); // bP (w, cs) - Double Click
- selectCodeEditor("read_add_one.v", 74, 103); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 74, 103, false, false, false, false, true); // bP (w, cs) - Double Click
- typeControlKey((HResource) null, "read_add_one.v", 'c'); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 199, 494); // bP (w, cs)
- typeControlKey((HResource) null, "read_add_one.v", 'v'); // bP (w, cs)
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Program and Debug, Generate Bitstream]", 21, false); // u (J, cs)
- // Run Command: PAResourceCommand.PACommandNames_RUN_BITGEN
- // A (cs): Synthesis is Out-of-date: addNotify
- selectButton(RDIResource.BaseDialog_YES, "Yes"); // a (A)
- // bz (cs): Resetting Runs : addNotify
- dismissDialog("Synthesis is Out-of-date"); // A (cs)
- // TclEventType: RUN_MODIFY
- // TclEventType: RUN_RESET
- // TclEventType: RUN_STATUS_CHANGE
- // TclEventType: RUN_RESET
- // TclEventType: RUN_STATUS_CHANGE
- // TclEventType: RUN_RESET
- // TclEventType: RUN_MODIFY
- // Tcl Message: reset_run synth_1
- // f (cs): Launch Runs: addNotify
- selectButton(RDIResource.BaseDialog_CANCEL, "Cancel"); // a (f)
- // 'cD' command handler elapsed time: 3 seconds
- dismissDialog("Launch Runs"); // f (cs)
- selectTab(PAResourceEtoH.FileSetView_TABBED_PANE, (HResource) null, "Hierarchy", 0); // i (N, cs)
- selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, read_add_one (read_add_one.v)]", 4, true); // B (F, cs) - Node
- selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, read_add_one (read_add_one.v)]", 4, true, false, false, false, true, false); // B (F, cs) - Popup Trigger - Node
- selectMenu(PAResourceCommand.PACommandNames_AUTO_UPDATE_HIER, "Hierarchy Update"); // af (ao, cs)
- selectMenu(PAResourceQtoS.SrcMenu_IP_HIERARCHY, "IP Hierarchy"); // af (ao, cs)
- selectMenuItem(PAResourceCommand.PACommandNames_SET_AS_TOP, "Set as Top"); // ai (ao, cs)
- // Run Command: PAResourceCommand.PACommandNames_SET_AS_TOP
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: FILE_SET_OPTIONS_CHANGE
- // TclEventType: DG_GRAPH_STALE
- // Tcl Message: set_property top read_add_one [current_fileset]
- // TclEventType: DG_GRAPH_STALE
- // HMemoryUtils.trashcanNow. Engine heap size: 2,254 MB. GUI used memory: 129 MB. Current time: 4/24/22, 5:22:54 PM CST
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- // Tcl Message: update_compile_order -fileset sources_1
- // [Engine Memory]: 2,270 MB (+6294kb) [01:25:11]
- // HMemoryUtils.trashcanNow. Engine heap size: 2,262 MB. GUI used memory: 126 MB. Current time: 4/24/22, 5:52:54 PM CST
- // Elapsed time: 2176 seconds
- collapseTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Program and Debug, Open Hardware Manager]", 22); // u (J, cs)
- expandTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Program and Debug, Open Hardware Manager]", 22); // u (J, cs)
- expandTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Program and Debug, Open Hardware Manager]", 22); // u (J, cs)
- collapseTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Program and Debug, Open Hardware Manager]", 22); // u (J, cs)
- // Elapsed time: 69 seconds
- selectCodeEditor("read_add_one.v", 534, 358); // bP (w, cs)
- // Elapsed time: 22 seconds
- selectCodeEditor("read_add_one.v", 164, 261); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 159, 260); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 176, 280); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 158, 265); // bP (w, cs)
- // Elapsed time: 19 seconds
- selectCodeEditor("read_add_one.v", 314, 347); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 158, 294); // bP (w, cs)
- typeControlKey((HResource) null, "read_add_one.v", 'v'); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 249, 241); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 249, 241, false, false, false, false, true); // bP (w, cs) - Double Click
- typeControlKey((HResource) null, "read_add_one.v", 'c'); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 341, 364); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 341, 355); // bP (w, cs)
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- // HMemoryUtils.trashcanNow. Engine heap size: 2,286 MB. GUI used memory: 126 MB. Current time: 4/24/22, 6:01:39 PM CST
- // Elapsed time: 25 seconds
- selectCodeEditor("read_add_one.v", 282, 248); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 128, 299); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 128, 299, false, false, false, false, true); // bP (w, cs) - Double Click
- selectCodeEditor("read_add_one.v", 110, 340); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 110, 340, false, false, false, false, true); // bP (w, cs) - Double Click
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, design_1_wrapper (design_1_wrapper.v)]", 3, true); // B (F, cs) - Node
- selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, Non-module Files]", 1, true); // B (F, cs) - Node
- selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "IP Catalog", 2); // m (l, cs)
- selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, IP-XACT, component.xml]", 6, false); // B (F, cs)
- selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, IP-XACT, component.xml]", 6, false, false, false, false, false, true); // B (F, cs) - Double Click
- // bz (cs): Open IP-XACT File : addNotify
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_CURRENT_CORE
- // Run Command: PAResourceCommand.PACommandNames_IP_PACKAGER
- // TclEventType: PACKAGER_OBJECT_CHANGE
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_MESSAGE_UPDATE
- // TclEventType: PACKAGER_OBJECT_CHANGE
- // Tcl Message: ipx::open_ipxact_file /home/lq/dma_try/dma_try.srcs/sources_1/component.xml
- dismissDialog("Open IP-XACT File"); // bz (cs)
- selectList(PAResourceOtoP.PackagerStepsPanel_PACKAGER_STEPS_LIST, "Customization Parameters", 3); // ar (J, cs)
- selectButton(PAResourceItoN.MessageBanner_CHANGES_DETECTED_IN_VIVADO_PROJECT_THAT, "Merge changes from Customization Parameters Wizard"); // h (M, cs)
- // TclEventType: PACKAGER_COMPONENT_RESET
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_MESSAGE_UPDATE
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_OBJECT_CHANGE
- // Tcl Message: ipx::merge_project_changes hdl_parameters [ipx::current_core]
- // Tcl Message: INFO: [IP_Flow 19-3166] Bus Interface 's_axi': References existing memory map 's_axi'.
- selectList(PAResourceOtoP.PackagerStepsPanel_PACKAGER_STEPS_LIST, "Review and Package", 7); // ar (J, cs)
- selectButton(PAResourceQtoS.ReviewContentPanel_RE_PACKAGE_IP, "Re-Package IP"); // a (c, cs)
- // TclEventType: PACKAGER_OBJECT_CHANGE
- // Tcl Message: set_property core_revision 4 [ipx::current_core]
- // bz (cs): Package IP : addNotify
- // Tcl Message: ipx::create_xgui_files [ipx::current_core]
- // Tcl Message: ipx::update_checksums [ipx::current_core]
- // Tcl Message: ipx::save_core [ipx::current_core]
- // TclEventType: CREATE_IP_CATALOG
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_MESSAGE_UPDATE
- // TclEventType: IP_LOCK_CHANGE
- // TclEventType: CREATE_IP_CATALOG
- // [GUI Memory]: 196 MB (+2810kb) [02:04:21]
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_MESSAGE_UPDATE
- // Tcl Message: update_ip_catalog -rebuild -repo_path /home/lq/dma_try/dma_try.srcs/sources_1
- // Tcl Message: 0
- // CommandFailedException: ERROR: [Common 17-69] Command failed: 0
- // [GUI Memory]: 207 MB (+1068kb) [02:04:22]
- // a (cs): Critical Messages: addNotify
- dismissDialog("Package IP"); // bz (cs)
- // TclEventType: FILE_SET_CHANGE
- selectButton(PAResourceAtoD.CmdMsgDialog_OK, "OK"); // f (a)
- dismissDialog("Critical Messages"); // a (cs)
- selectButton(PAResourceOtoP.PlanAheadTab_REFRESH_IP_CATALOG, "Refresh IP Catalog"); // h (ds, cs)
- selectButton(RDIResource.BaseReportTab_RERUN, "Rerun"); // h (ds, cs)
- // Tcl Command: 'report_ip_status -name ip_status '
- // TclEventType: IP_SUMMARY_RESULTS
- // Tcl Message: report_ip_status -name ip_status
- selectButton(PAResourceItoN.IPStatusSectionPanel_UPGRADE_SELECTED, "Upgrade Selected"); // a (g, cs)
- // Run Command: PAResourceCommand.PACommandNames_UPGRADE_IP
- // TclEventType: RSB_OPEN_DIAGRAM
- // TclEventType: RSB_SCRIPT_TASK
- // TclEventType: DG_GRAPH_STALE
- // bz (cs): Upgrade IP : addNotify
- // TclEventType: DG_GRAPH_STALE
- // Tcl Message: upgrade_ip -srcset design_1 -vlnv user.org:user:read_add_one:1.0 [get_ips design_1_read_add_one_0_2] -log ip_upgrade.log
- // TclEventType: DG_GRAPH_STALE
- // Tcl Message: Upgrading '/home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/design_1.bd'
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: RSB_SCRIPT_TASK
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_SAVE_DIAGRAM
- // TclEventType: FILE_SET_CHANGE
- // Tcl Message: INFO: [IP_Flow 19-1972] Upgraded design_1_read_add_one_0_2 from read_add_one_v1_0 1.0 to read_add_one_v1_0 1.0
- // TclEventType: RSB_SAVE_DIAGRAM
- // Tcl Message: Wrote : </home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/design_1.bd> Wrote : </home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/ui/bd_1f5defd0.ui>
- // TclEventType: RSB_SAVE_DIAGRAM
- // TclEventType: FILESET_UPDATE_IP
- // TclEventType: IP_UPGRADE_COMPLETE
- // Tcl Message: INFO: [Coretcl 2-1525] Wrote upgrade log to '/home/lq/dma_try/ip_upgrade.log'.
- // Tcl Message: export_ip_user_files -of_objects [get_ips design_1_read_add_one_0_2] -no_script -sync -force -quiet
- // aI (cs): Generate Output Products: addNotify
- // Elapsed time: 168 seconds
- selectButton("OptionPane.button", "OK"); // JButton (v, B)
- // [Engine Memory]: 2,449 MB (+68673kb) [02:07:21]
- // HMemoryUtils.trashcanNow. Engine heap size: 2,335 MB. GUI used memory: 139 MB. Current time: 4/24/22, 6:05:14 PM CST
- // Elapsed time: 14 seconds
- selectButton(PAResourceQtoS.SimpleOutputProductDialog_GENERATE_OUTPUT_PRODUCTS_IMMEDIATELY, "Generate"); // a (aI)
- // bz (cs): Managing Output Products : addNotify
- // TclEventType: RSB_SCRIPT_TASK
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_SCRIPT_TASK
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: RSB_SCRIPT_TASK
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: RSB_SCRIPT_TASK
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: RSB_SCRIPT_TASK
- // TclEventType: FILE_SET_CHANGE
- // Tcl Message: generate_target all [get_files /home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/design_1.bd]
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: FILE_SET_CHANGE
- // Tcl Message: ERROR: [BD 5-683] VLNV <xilinx.com:ip:axi_dwidth_converter:2.1> is not supported for the current part.
- // Tcl Message: ERROR: [Common 17-39] 'create_bd_cell' failed due to earlier errors.
- // Tcl Message: ERROR: [BD 41-1273] Error running post_propagate TCL procedure: ERROR: [Common 17-39] 'create_bd_cell' failed due to earlier errors. ifx_insert_ip Line 14
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_CLOSE_DIAGRAM
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_SCRIPT_TASK
- // Tcl Message: ERROR: [BD 41-237] Bus Interface property DATA_WIDTH does not match between /ps8_0_axi_periph/xbar/S01_AXI(32) and /zynq_ultra_ps_e_0/M_AXI_HPM1_FPD(128) ERROR: [BD 41-237] Bus Interface property PROTOCOL does not match between /ps8_0_axi_periph/xbar/S01_AXI(AXI4LITE) and /zynq_ultra_ps_e_0/M_AXI_HPM1_FPD(AXI4) ERROR: [BD 41-237] Bus Interface property ID_WIDTH does not match between /ps8_0_axi_periph/xbar/S01_AXI(0) and /zynq_ultra_ps_e_0/M_AXI_HPM1_FPD(16)
- // Tcl Message: ERROR: [BD 41-237] Bus Interface property FREQ_HZ does not match between /read_add_one_0/axis_in(100000000) and /axi_dma_0/M_AXIS_MM2S(200000000)
- // Tcl Message: ERROR: [BD 41-237] Bus Interface property FREQ_HZ does not match between /axi_dma_0/S_AXIS_S2MM(200000000) and /read_add_one_0/axis_out(100000000) ERROR: [BD 41-237] Bus Interface property FREQ_HZ does not match between /read_add_one_0/s_axi(100000000) and /ps8_0_axi_periph/xbar/M01_AXI(200000000)
- // Tcl Message: ERROR: [BD 41-238] Port/Pin property FREQ_HZ does not match between /read_add_one_0/clk(100000000) and /zynq_ultra_ps_e_0/pl_clk0(200000000) ERROR: [BD 41-1031] Hdl Generation failed for the IP Integrator design /home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/design_1.bd
- // Tcl Message: generate_target: Time (s): cpu = 00:00:16 ; elapsed = 00:00:12 . Memory (MB): peak = 8031.793 ; gain = 0.000 ; free physical = 80540 ; free virtual = 140232
- // Tcl Message: ERROR: [Common 17-39] 'generate_target' failed due to earlier errors.
- // CommandFailedException: ERROR: [Common 17-69] Command failed: ERROR: [Common 17-39] 'generate_target' failed due to earlier errors.
- // a (cs): Critical Messages: addNotify
- // Elapsed time: 12 seconds
- dismissDialog("Managing Output Products"); // bz (cs)
- selectButton(PAResourceAtoD.CmdMsgDialog_OK, "OK"); // f (a)
- dismissDialog("Critical Messages"); // a (cs)
- // Run Command: RDIResourceCommand.RDICommands_DELETE
- // TclEventType: RSB_SCRIPT_TASK
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: RSB_SCRIPT_TASK
- // Tcl Message: delete_bd_objs [get_bd_intf_nets axi_dma_0_M_AXIS_MM2S] [get_bd_intf_nets read_add_one_0_axis_out] [get_bd_intf_nets ps8_0_axi_periph_M01_AXI] [get_bd_cells read_add_one_0]
- selectButton(PAResourceQtoS.SystemBuilderView_ADD_IP, "System_RSB_ADD_IP"); // E (g, cs)
- selectButton(PAResourceQtoS.SystemBuilderView_ADD_IP, "System_RSB_ADD_IP"); // E (g, cs)
- // HMemoryUtils.trashcanNow. Engine heap size: 2,367 MB. GUI used memory: 141 MB. Current time: 4/24/22, 6:05:49 PM CST
- selectButton(RDIResource.BaseReportTab_RERUN, "Rerun"); // h (ds, cs)
- // Tcl Command: 'report_ip_status -name ip_status '
- // TclEventType: IP_SUMMARY_RESULTS
- // Tcl Message: report_ip_status -name ip_status
- selectButton(PAResourceQtoS.SystemBuilderView_ADD_IP, "System_RSB_ADD_IP"); // E (g, cs)
- selectTreeTable(PAResourceAtoD.CoreTreeTablePanel_CORE_TREE_TABLE, "read_add_one_v1_0", 1, "read_add_one_v1_0", 0, false); // L (J, ResizableWindow)
- expandTreeTable(PAResourceAtoD.CoreTreeTablePanel_CORE_TREE_TABLE, "read_add_one_v1_0", 1); // L (J, ResizableWindow)
- selectTreeTable(PAResourceAtoD.CoreTreeTablePanel_CORE_TREE_TABLE, "read_add_one_v1_0", 1, "read_add_one_v1_0", 0, false, false, false, false, false, true); // L (J, ResizableWindow) - Double Click
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- // bz (cs): Add IP : addNotify
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // Tcl Message: startgroup
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // Tcl Message: create_bd_cell -type ip -vlnv user.org:user:read_add_one:1.0 read_add_one_0
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: RSB_ADD_OBJECT
- // Tcl Message: endgroup
- dismissDialog("Add IP"); // bz (cs)
- expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, design_1_wrapper (design_1_wrapper.v), design_1_i : design_1 (design_1.bd)]", 4); // B (F, cs)
- // Tcl Command: 'set_property location {2 451 100} [get_bd_cells read_add_one_0]'
- // TclEventType: RSB_CANVAS_LOCATION
- // Tcl Message: set_property location {2 451 100} [get_bd_cells read_add_one_0]
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_CONNECTION_CHANGE
- // Tcl Message: connect_bd_intf_net [get_bd_intf_pins read_add_one_0/axis_out] [get_bd_intf_pins axi_dma_0/S_AXIS_S2MM]
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_CONNECTION_CHANGE
- // Tcl Message: connect_bd_intf_net [get_bd_intf_pins read_add_one_0/axis_in] [get_bd_intf_pins axi_dma_0/M_AXIS_MM2S]
- // Elapsed time: 10 seconds
- selectButton(PAResourceQtoS.RSBApplyAutomationBar_RUN_CONNECTION_AUTOMATION, "Run Connection Automation"); // h (dq, cs)
- // ai (cs): Run Connection Automation: addNotify
- selectButton(RDIResource.BaseDialog_OK, "OK"); // a (ai)
- dismissDialog("Run Connection Automation"); // ai (cs)
- // TclEventType: XGUI_UPDATE_GUI_ELEMENT
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_CONNECTION_CHANGE
- // bz (cs): Run Connection Automation : addNotify
- // TclEventType: RSB_CONNECTION_CHANGE
- // Tcl Message: apply_bd_automation -rule xilinx.com:bd_rule:axi4 -config { Clk_master {/zynq_ultra_ps_e_0/pl_clk0 (200 MHz)} Clk_slave {Auto} Clk_xbar {/zynq_ultra_ps_e_0/pl_clk0 (200 MHz)} Master {/zynq_ultra_ps_e_0/M_AXI_HPM1_FPD} Slave {/read_add_one_0/s_axi} ddr_seg {Auto} intc_ip {/ps8_0_axi_periph} master_apm {0}} [get_bd_intf_pins read_add_one_0/s_axi]
- // TclEventType: RSB_CONNECTION_CHANGE
- // TclEventType: RSB_SCRIPT_TASK
- // TclEventType: XGUI_RESET_GUI_ELEMENT
- // Tcl Message: Slave segment '/read_add_one_0/s_axi/reg0' is being assigned into address space '/zynq_ultra_ps_e_0/Data' at <0xB000_0000 [ 64K ]>.
- dismissDialog("Run Connection Automation"); // bz (cs)
- selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "read_add_one.v", 1); // m (l, cs)
- selectButton(RDIResource.BaseReportTab_RERUN, "Rerun"); // h (ds, cs)
- // Tcl Command: 'report_ip_status -name ip_status '
- // TclEventType: IP_SUMMARY_RESULTS
- // Tcl Message: report_ip_status -name ip_status
- selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "System", 0); // m (l, cs)
- // Run Command: RDIResourceCommand.RDICommands_UNDO
- typeControlKey(null, null, 'z');
- // TclEventType: RSB_SCRIPT_TASK
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: RSB_SCRIPT_TASK
- // TclEventType: RSB_CONNECTION_CHANGE
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_REMOVE_OBJECT
- // Tcl Message: undo
- // Tcl Message: INFO: [Common 17-17] undo 'apply_bd_automation -rule xilinx.com:bd_rule:axi4 -config { Clk_master {/zynq_ultra_ps_e_0/pl_clk0 (200 MHz)} Clk_slave {Auto} Clk_xbar {/zynq_ultra_ps_e_0/pl_clk0 (200 MHz)} Master {/zynq_ultra_ps_e_0/M_AXI_HPM1_FPD} Slave {/read_add_one_0/s_axi} ddr_seg {Auto} intc_ip {/ps8_0_axi_periph} master_apm {0}} [get_bd_intf_pins read_add_one_0/s_axi]'
- // Run Command: RDIResourceCommand.RDICommands_UNDO
- typeControlKey(null, null, 'z');
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_REMOVE_OBJECT
- // Tcl Message: undo
- // Tcl Message: INFO: [Common 17-17] undo 'connect_bd_intf_net [get_bd_intf_pins read_add_one_0/axis_in] [get_bd_intf_pins axi_dma_0/M_AXIS_MM2S]'
- // Run Command: RDIResourceCommand.RDICommands_UNDO
- typeControlKey(null, null, 'z');
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_REMOVE_OBJECT
- // Tcl Message: undo
- // Tcl Message: INFO: [Common 17-17] undo 'connect_bd_intf_net [get_bd_intf_pins read_add_one_0/axis_out] [get_bd_intf_pins axi_dma_0/S_AXIS_S2MM]'
- // TclEventType: RSB_CANVAS_LOCATION
- // TclEventType: RSB_BLOCK_SIZE
- // TclEventType: RSB_CANVAS_LOCATION
- // TclEventType: RSB_BLOCK_SIZE
- selectTab(RDIResource.PropertiesView_TABBED_PANE, (HResource) null, "Properties", 1); // i (c, cs)
- // TclEventType: RSB_CANVAS_LOCATION
- // TclEventType: RSB_BLOCK_SIZE
- // TclEventType: RSB_CANVAS_LOCATION
- // TclEventType: RSB_BLOCK_SIZE
- // TclEventType: RSB_CANVAS_LOCATION
- // TclEventType: RSB_BLOCK_SIZE
- // TclEventType: RSB_CANVAS_LOCATION
- // TclEventType: RSB_BLOCK_SIZE
- // TclEventType: RSB_CANVAS_LOCATION
- // TclEventType: RSB_BLOCK_SIZE
- // TclEventType: RSB_CANVAS_LOCATION
- // TclEventType: RSB_BLOCK_SIZE
- // TclEventType: RSB_CANVAS_LOCATION
- // TclEventType: RSB_BLOCK_SIZE
- // TclEventType: RSB_CANVAS_LOCATION
- // TclEventType: RSB_BLOCK_SIZE
- // TclEventType: RSB_CANVAS_LOCATION
- // TclEventType: RSB_BLOCK_SIZE
- // TclEventType: RSB_CANVAS_LOCATION
- // TclEventType: RSB_BLOCK_SIZE
- // TclEventType: RSB_CANVAS_LOCATION
- // TclEventType: RSB_BLOCK_SIZE
- // TclEventType: RSB_CANVAS_LOCATION
- // TclEventType: RSB_BLOCK_SIZE
- // TclEventType: RSB_CANVAS_LOCATION
- // TclEventType: RSB_BLOCK_SIZE
- // TclEventType: RSB_CANVAS_LOCATION
- // TclEventType: RSB_BLOCK_SIZE
- // TclEventType: RSB_CANVAS_LOCATION
- // TclEventType: RSB_BLOCK_SIZE
- // TclEventType: RSB_CANVAS_LOCATION
- // TclEventType: RSB_BLOCK_SIZE
- // TclEventType: RSB_CANVAS_LOCATION
- // TclEventType: RSB_BLOCK_SIZE
- // TclEventType: RSB_CANVAS_LOCATION
- // TclEventType: RSB_BLOCK_SIZE
- // TclEventType: RSB_CANVAS_LOCATION
- // TclEventType: RSB_BLOCK_SIZE
- // TclEventType: RSB_CANVAS_LOCATION
- // TclEventType: RSB_BLOCK_SIZE
- // TclEventType: RSB_CANVAS_LOCATION
- // TclEventType: RSB_BLOCK_SIZE
- // TclEventType: RSB_CANVAS_LOCATION
- // TclEventType: RSB_BLOCK_SIZE
- // TclEventType: RSB_CANVAS_LOCATION
- // TclEventType: RSB_BLOCK_SIZE
- // TclEventType: RSB_CANVAS_LOCATION
- // TclEventType: RSB_BLOCK_SIZE
- // TclEventType: RSB_CANVAS_LOCATION
- // TclEventType: RSB_BLOCK_SIZE
- // TclEventType: RSB_CANVAS_LOCATION
- // TclEventType: RSB_BLOCK_SIZE
- // TclEventType: RSB_CANVAS_LOCATION
- // TclEventType: RSB_BLOCK_SIZE
- // TclEventType: RSB_CANVAS_LOCATION
- // TclEventType: RSB_BLOCK_SIZE
- // TclEventType: RSB_CANVAS_LOCATION
- // TclEventType: RSB_BLOCK_SIZE
- // TclEventType: RSB_CANVAS_LOCATION
- // TclEventType: RSB_BLOCK_SIZE
- // TclEventType: RSB_CANVAS_LOCATION
- // TclEventType: RSB_BLOCK_SIZE
- // TclEventType: RSB_CANVAS_LOCATION
- // TclEventType: RSB_BLOCK_SIZE
- // TclEventType: RSB_CANVAS_LOCATION
- // TclEventType: RSB_BLOCK_SIZE
- // TclEventType: RSB_CANVAS_LOCATION
- // TclEventType: RSB_BLOCK_SIZE
- // TclEventType: RSB_CANVAS_LOCATION
- // TclEventType: RSB_BLOCK_SIZE
- // TclEventType: RSB_CANVAS_LOCATION
- // TclEventType: RSB_BLOCK_SIZE
- // TclEventType: RSB_CANVAS_LOCATION
- // TclEventType: RSB_BLOCK_SIZE
- // TclEventType: RSB_CANVAS_LOCATION
- // TclEventType: RSB_BLOCK_SIZE
- // TclEventType: RSB_CANVAS_LOCATION
- // TclEventType: RSB_BLOCK_SIZE
- // TclEventType: RSB_CANVAS_LOCATION
- // TclEventType: RSB_BLOCK_SIZE
- // TclEventType: RSB_CANVAS_LOCATION
- // TclEventType: RSB_BLOCK_SIZE
- // TclEventType: RSB_CANVAS_LOCATION
- // TclEventType: RSB_BLOCK_SIZE
- // TclEventType: RSB_CANVAS_LOCATION
- // TclEventType: RSB_BLOCK_SIZE
- // TclEventType: RSB_CANVAS_LOCATION
- // TclEventType: RSB_BLOCK_SIZE
- // TclEventType: RSB_CANVAS_LOCATION
- // TclEventType: RSB_BLOCK_SIZE
- // TclEventType: RSB_CANVAS_LOCATION
- // TclEventType: RSB_BLOCK_SIZE
- // TclEventType: RSB_CANVAS_LOCATION
- // TclEventType: RSB_BLOCK_SIZE
- // TclEventType: RSB_CANVAS_LOCATION
- // TclEventType: RSB_BLOCK_SIZE
- // TclEventType: RSB_CANVAS_LOCATION
- // TclEventType: RSB_BLOCK_SIZE
- // TclEventType: RSB_CANVAS_LOCATION
- // TclEventType: RSB_BLOCK_SIZE
- // TclEventType: RSB_CANVAS_LOCATION
- // TclEventType: RSB_BLOCK_SIZE
- // TclEventType: RSB_CANVAS_LOCATION
- // TclEventType: RSB_BLOCK_SIZE
- // TclEventType: RSB_CANVAS_LOCATION
- // TclEventType: RSB_BLOCK_SIZE
- // TclEventType: RSB_CANVAS_LOCATION
- // TclEventType: RSB_BLOCK_SIZE
- // TclEventType: RSB_CANVAS_LOCATION
- // TclEventType: RSB_BLOCK_SIZE
- // TclEventType: RSB_CANVAS_LOCATION
- // TclEventType: RSB_BLOCK_SIZE
- // TclEventType: RSB_CANVAS_LOCATION
- // TclEventType: RSB_BLOCK_SIZE
- // TclEventType: RSB_CANVAS_LOCATION
- // TclEventType: RSB_BLOCK_SIZE
- // TclEventType: RSB_CANVAS_LOCATION
- // TclEventType: RSB_BLOCK_SIZE
- // TclEventType: RSB_CANVAS_LOCATION
- // TclEventType: RSB_BLOCK_SIZE
- // TclEventType: RSB_CANVAS_LOCATION
- // TclEventType: RSB_BLOCK_SIZE
- // TclEventType: RSB_CANVAS_LOCATION
- // TclEventType: RSB_BLOCK_SIZE
- // TclEventType: RSB_CANVAS_LOCATION
- // TclEventType: RSB_BLOCK_SIZE
- // TclEventType: RSB_CANVAS_LOCATION
- // TclEventType: RSB_BLOCK_SIZE
- // TclEventType: RSB_CANVAS_LOCATION
- // TclEventType: RSB_BLOCK_SIZE
- // TclEventType: RSB_CANVAS_LOCATION
- // TclEventType: RSB_BLOCK_SIZE
- // TclEventType: RSB_CANVAS_LOCATION
- // TclEventType: RSB_BLOCK_SIZE
- // TclEventType: RSB_CANVAS_LOCATION
- // TclEventType: RSB_BLOCK_SIZE
- // TclEventType: RSB_CANVAS_LOCATION
- // TclEventType: RSB_BLOCK_SIZE
- // TclEventType: RSB_CANVAS_LOCATION
- // TclEventType: RSB_BLOCK_SIZE
- // TclEventType: RSB_CANVAS_LOCATION
- // TclEventType: RSB_BLOCK_SIZE
- // TclEventType: RSB_CANVAS_LOCATION
- // TclEventType: RSB_BLOCK_SIZE
- // TclEventType: RSB_CANVAS_LOCATION
- // TclEventType: RSB_BLOCK_SIZE
- // TclEventType: RSB_CANVAS_LOCATION
- // TclEventType: RSB_BLOCK_SIZE
- // TclEventType: RSB_CANVAS_LOCATION
- // TclEventType: RSB_BLOCK_SIZE
- // TclEventType: RSB_CANVAS_LOCATION
- // TclEventType: RSB_BLOCK_SIZE
- // TclEventType: RSB_CANVAS_LOCATION
- // TclEventType: RSB_BLOCK_SIZE
- // TclEventType: RSB_CANVAS_LOCATION
- // TclEventType: RSB_BLOCK_SIZE
- // TclEventType: RSB_CANVAS_LOCATION
- // TclEventType: RSB_BLOCK_SIZE
- // TclEventType: RSB_CANVAS_LOCATION
- // TclEventType: RSB_BLOCK_SIZE
- // TclEventType: RSB_CANVAS_LOCATION
- // TclEventType: RSB_BLOCK_SIZE
- // TclEventType: RSB_CANVAS_LOCATION
- // TclEventType: RSB_BLOCK_SIZE
- // TclEventType: RSB_CANVAS_LOCATION
- // TclEventType: RSB_BLOCK_SIZE
- // TclEventType: RSB_CANVAS_LOCATION
- // TclEventType: RSB_BLOCK_SIZE
- // TclEventType: RSB_CANVAS_LOCATION
- // TclEventType: RSB_BLOCK_SIZE
- // TclEventType: RSB_CANVAS_LOCATION
- // TclEventType: RSB_BLOCK_SIZE
- // TclEventType: RSB_CANVAS_LOCATION
- // TclEventType: RSB_BLOCK_SIZE
- // TclEventType: RSB_CANVAS_LOCATION
- // TclEventType: RSB_BLOCK_SIZE
- // TclEventType: RSB_CANVAS_LOCATION
- // TclEventType: RSB_BLOCK_SIZE
- // TclEventType: RSB_CANVAS_LOCATION
- // TclEventType: RSB_BLOCK_SIZE
- // TclEventType: RSB_CANVAS_LOCATION
- // TclEventType: RSB_BLOCK_SIZE
- // TclEventType: RSB_CANVAS_LOCATION
- // TclEventType: RSB_BLOCK_SIZE
- // TclEventType: RSB_CANVAS_LOCATION
- // TclEventType: RSB_BLOCK_SIZE
- // TclEventType: RSB_CANVAS_LOCATION
- // TclEventType: RSB_BLOCK_SIZE
- // TclEventType: RSB_CANVAS_LOCATION
- // TclEventType: RSB_BLOCK_SIZE
- // TclEventType: RSB_CANVAS_LOCATION
- // TclEventType: RSB_BLOCK_SIZE
- // TclEventType: RSB_CANVAS_LOCATION
- // TclEventType: RSB_BLOCK_SIZE
- // TclEventType: RSB_CANVAS_LOCATION
- // TclEventType: RSB_BLOCK_SIZE
- // TclEventType: RSB_CANVAS_LOCATION
- // TclEventType: RSB_BLOCK_SIZE
- // TclEventType: RSB_CANVAS_LOCATION
- // TclEventType: RSB_BLOCK_SIZE
- // TclEventType: RSB_CANVAS_LOCATION
- // TclEventType: RSB_BLOCK_SIZE
- // TclEventType: RSB_CANVAS_LOCATION
- // TclEventType: RSB_BLOCK_SIZE
- // TclEventType: RSB_CANVAS_LOCATION
- // TclEventType: RSB_BLOCK_SIZE
- // TclEventType: RSB_CANVAS_LOCATION
- // TclEventType: RSB_BLOCK_SIZE
- // TclEventType: RSB_CANVAS_LOCATION
- // TclEventType: RSB_BLOCK_SIZE
- // TclEventType: RSB_CANVAS_LOCATION
- // TclEventType: RSB_BLOCK_SIZE
- expandTreeTable(PAResourceTtoZ.TclObjectTreeTable_TREETABLE, "CONFIG ; ", 2); // l (C, cs)
- // TclEventType: RSB_CANVAS_LOCATION
- // TclEventType: RSB_BLOCK_SIZE
- // TclEventType: RSB_CANVAS_LOCATION
- // TclEventType: RSB_BLOCK_SIZE
- // TclEventType: RSB_CANVAS_LOCATION
- // TclEventType: RSB_BLOCK_SIZE
- // TclEventType: RSB_CANVAS_LOCATION
- // TclEventType: RSB_BLOCK_SIZE
- // TclEventType: RSB_CANVAS_LOCATION
- // TclEventType: RSB_BLOCK_SIZE
- // TclEventType: RSB_CANVAS_LOCATION
- // TclEventType: RSB_BLOCK_SIZE
- // TclEventType: RSB_CANVAS_LOCATION
- // TclEventType: RSB_BLOCK_SIZE
- // TclEventType: RSB_CANVAS_LOCATION
- // TclEventType: RSB_BLOCK_SIZE
- // TclEventType: RSB_CANVAS_LOCATION
- // TclEventType: RSB_BLOCK_SIZE
- // TclEventType: RSB_CANVAS_LOCATION
- // TclEventType: RSB_BLOCK_SIZE
- // TclEventType: RSB_CANVAS_LOCATION
- // TclEventType: RSB_BLOCK_SIZE
- // TclEventType: RSB_CANVAS_LOCATION
- // TclEventType: RSB_BLOCK_SIZE
- // TclEventType: RSB_CANVAS_LOCATION
- // TclEventType: RSB_BLOCK_SIZE
- // TclEventType: RSB_CANVAS_LOCATION
- // TclEventType: RSB_BLOCK_SIZE
- // TclEventType: RSB_CANVAS_LOCATION
- // TclEventType: RSB_BLOCK_SIZE
- // TclEventType: RSB_CANVAS_LOCATION
- // TclEventType: RSB_BLOCK_SIZE
- // TclEventType: RSB_CANVAS_LOCATION
- // TclEventType: RSB_BLOCK_SIZE
- // TclEventType: RSB_CANVAS_LOCATION
- // TclEventType: RSB_BLOCK_SIZE
- // TclEventType: RSB_CANVAS_LOCATION
- // TclEventType: RSB_BLOCK_SIZE
- // TclEventType: RSB_CANVAS_LOCATION
- // TclEventType: RSB_BLOCK_SIZE
- // TclEventType: RSB_CANVAS_LOCATION
- // TclEventType: RSB_BLOCK_SIZE
- // TclEventType: RSB_CANVAS_LOCATION
- // TclEventType: RSB_BLOCK_SIZE
- // TclEventType: RSB_CANVAS_LOCATION
- // TclEventType: RSB_BLOCK_SIZE
- // TclEventType: RSB_CANVAS_LOCATION
- // TclEventType: RSB_BLOCK_SIZE
- // TclEventType: RSB_CANVAS_LOCATION
- // TclEventType: RSB_BLOCK_SIZE
- // TclEventType: RSB_CANVAS_LOCATION
- // TclEventType: RSB_BLOCK_SIZE
- // TclEventType: RSB_CANVAS_LOCATION
- expandTreeTable(PAResourceTtoZ.TclObjectTreeTable_TREETABLE, "CONFIG ; ", 1); // l (C, cs)
- selectTreeTable(PAResourceTtoZ.TclObjectTreeTable_TREETABLE, "FREQ_HZ ; 100000000", 5, "100000000", 1, false); // l (C, cs)
- selectTreeTable(PAResourceTtoZ.TclObjectTreeTable_TREETABLE, "FREQ_HZ ; 100000000", 5, "100000000", 1, false); // l (C, cs)
- // TclEventType: RSB_PROPERTY_CHANGE
- // Tcl Message: set_property CONFIG.FREQ_HZ 200000000 [get_bd_pins /read_add_one_0/clk]
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_CONNECTION_CHANGE
- // Tcl Message: connect_bd_intf_net [get_bd_intf_pins read_add_one_0/axis_in] [get_bd_intf_pins axi_dma_0/M_AXIS_MM2S]
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_CONNECTION_CHANGE
- // Tcl Message: connect_bd_intf_net [get_bd_intf_pins read_add_one_0/axis_out] [get_bd_intf_pins axi_dma_0/S_AXIS_S2MM]
- // Elapsed time: 10 seconds
- selectButton(PAResourceQtoS.RSBApplyAutomationBar_RUN_CONNECTION_AUTOMATION, "Run Connection Automation"); // h (dq, cs)
- // ai (cs): Run Connection Automation: addNotify
- selectButton(RDIResource.BaseDialog_OK, "OK"); // a (ai)
- dismissDialog("Run Connection Automation"); // ai (cs)
- // TclEventType: XGUI_UPDATE_GUI_ELEMENT
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_CONNECTION_CHANGE
- // bz (cs): Run Connection Automation : addNotify
- // TclEventType: RSB_CONNECTION_CHANGE
- // Tcl Message: apply_bd_automation -rule xilinx.com:bd_rule:axi4 -config { Clk_master {/zynq_ultra_ps_e_0/pl_clk0 (200 MHz)} Clk_slave {Auto} Clk_xbar {/zynq_ultra_ps_e_0/pl_clk0 (200 MHz)} Master {/zynq_ultra_ps_e_0/M_AXI_HPM1_FPD} Slave {/read_add_one_0/s_axi} ddr_seg {Auto} intc_ip {/ps8_0_axi_periph} master_apm {0}} [get_bd_intf_pins read_add_one_0/s_axi]
- // TclEventType: RSB_CONNECTION_CHANGE
- // TclEventType: RSB_SCRIPT_TASK
- // TclEventType: XGUI_RESET_GUI_ELEMENT
- // Tcl Message: Slave segment '/read_add_one_0/s_axi/reg0' is being assigned into address space '/zynq_ultra_ps_e_0/Data' at <0xB000_0000 [ 64K ]>.
- dismissDialog("Run Connection Automation"); // bz (cs)
- // Elapsed time: 886 seconds
- selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "read_add_one.v", 1); // m (l, cs)
- // Elapsed time: 44 seconds
- selectCodeEditor("read_add_one.v", 760, 246); // bP (w, cs)
- // Run Command: PAResourceCommand.PACommandNames_SAVE_RSB_DESIGN
- // TclEventType: RSB_SAVE_DIAGRAM
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- // Tcl Message: save_bd_design
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_SAVE_DIAGRAM
- // Tcl Message: Wrote : </home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/design_1.bd> Wrote : </home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/ui/bd_1f5defd0.ui>
- // HMemoryUtils.trashcanNow. Engine heap size: 2,401 MB. GUI used memory: 139 MB. Current time: 4/24/22, 6:22:34 PM CST
- // Elapsed time: 16 seconds
- selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "fifo_generator_0.veo", 3); // m (l, cs)
- selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "Package IP - read_add_one", 4); // m (l, cs)
- selectList(PAResourceOtoP.PackagerStepsPanel_PACKAGER_STEPS_LIST, "File Groups", 2); // ar (J, cs)
- selectList(PAResourceOtoP.PackagerStepsPanel_PACKAGER_STEPS_LIST, "Customization Parameters", 3); // ar (J, cs)
- selectButton(PAResourceOtoP.ParameterFacetTable_REFRESH, (String) null); // E (g, cs)
- selectList(PAResourceOtoP.PackagerStepsPanel_PACKAGER_STEPS_LIST, "File Groups", 2); // ar (J, cs)
- selectList(PAResourceOtoP.PackagerStepsPanel_PACKAGER_STEPS_LIST, "Review and Package", 7); // ar (J, cs)
- selectButton(PAResourceQtoS.ReviewContentPanel_EDIT_PACKAGING_SETTINGS, "Edit packaging settings"); // h (Q, cs)
- // Run Command: PAResourceCommand.PACommandNames_IP_SETTINGS
- // d (cs): Settings: addNotify
- dismissDialog("Settings"); // d (cs)
- selectButton(PAResourceQtoS.ReviewContentPanel_RE_PACKAGE_IP, "Re-Package IP"); // a (c, cs)
- // TclEventType: PACKAGER_OBJECT_CHANGE
- // Tcl Message: set_property core_revision 5 [ipx::current_core]
- // Tcl Message: ipx::create_xgui_files [ipx::current_core]
- // Tcl Message: ipx::update_checksums [ipx::current_core]
- // bz (cs): Package IP : addNotify
- // Tcl Message: ipx::save_core [ipx::current_core]
- // TclEventType: CREATE_IP_CATALOG
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_MESSAGE_UPDATE
- // TclEventType: CREATE_IP_CATALOG
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_MESSAGE_UPDATE
- // Tcl Message: update_ip_catalog -rebuild -repo_path /home/lq/dma_try/dma_try.srcs/sources_1
- // Tcl Message: 0
- // CommandFailedException: ERROR: [Common 17-69] Command failed: 0
- // a (cs): Critical Messages: addNotify
- dismissDialog("Package IP"); // bz (cs)
- // TclEventType: FILE_SET_CHANGE
- selectButton(PAResourceAtoD.CmdMsgDialog_OK, "OK"); // f (a)
- dismissDialog("Critical Messages"); // a (cs)
- selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, design_1_wrapper (design_1_wrapper.v)]", 3, true); // B (F, cs) - Node
- selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, design_1_wrapper (design_1_wrapper.v)]", 3, true, false, false, false, true, false); // B (F, cs) - Popup Trigger - Node
- selectMenu(PAResourceCommand.PACommandNames_AUTO_UPDATE_HIER, "Hierarchy Update"); // af (ao, cs)
- selectMenu(PAResourceQtoS.SrcMenu_IP_HIERARCHY, "IP Hierarchy"); // af (ao, cs)
- selectMenu(PAResourceCommand.PACommandNames_AUTO_UPDATE_HIER, "Hierarchy Update"); // af (ao, cs)
- selectMenu(PAResourceCommand.PACommandNames_AUTO_UPDATE_HIER, "Hierarchy Update"); // af (ao, cs)
- selectMenu(PAResourceQtoS.SrcMenu_IP_HIERARCHY, "IP Hierarchy"); // af (ao, cs)
- selectMenuItem(PAResourceCommand.PACommandNames_SET_AS_TOP, "Set as Top"); // ai (ao, cs)
- // Run Command: PAResourceCommand.PACommandNames_SET_AS_TOP
- // TclEventType: PACKAGER_MESSAGE_UPDATE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: FILE_SET_OPTIONS_CHANGE
- // TclEventType: DG_GRAPH_STALE
- // Tcl Message: set_property top design_1_wrapper [current_fileset]
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: PACKAGER_MESSAGE_UPDATE
- // TclEventType: FILE_SET_CHANGE
- // Tcl Message: update_compile_order -fileset sources_1
- // HMemoryUtils.trashcanNow. Engine heap size: 2,427 MB. GUI used memory: 142 MB. Current time: 4/24/22, 6:23:09 PM CST
- selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Implementation, Run Implementation]", 18, false); // u (J, cs)
- // Run Command: PAResourceCommand.PACommandNames_RUN_IMPLEMENTATION
- selectList(PAResourceOtoP.PackagerStepsPanel_PACKAGER_STEPS_LIST, "File Groups", 2); // ar (J, cs)
- selectButton(PAResourceItoN.MessageBanner_CHANGES_DETECTED_IN_VIVADO_PROJECT_THAT, "Merge changes from File Groups Wizard"); // h (M, cs)
- // TclEventType: PACKAGER_COMPONENT_RESET
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_MESSAGE_UPDATE
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_OBJECT_CHANGE
- // CommandFailedException: ERROR: [Common 17-69] Command failed: ERROR: [Common 17-39] 'ipx::merge_project_changes' failed due to earlier errors.
- /*
- #--------------------------------------------------------------------------
- # Xilinx Vivado v2020.1 (64-bit)
- # SW Build: 2902540 on Wed May 27 19:54:35 MDT 2020
- # IP Build: 2902112 on Wed May 27 22:43:36 MDT 2020
- # Current time: Sun Apr 24 18:23:14 CST 2022
- # Process ID (PID): 32028
- # OS: Ubuntu
- # User: lq
- #
- # This file is an indication that an internal application error occurred.
- # This information is useful for debugging. Please open a case with Xilinx.
- # Technical Support with this file and a testcase attached.
- #--------------------------------------------------------------------------
- ui.frmwork.CommandFailedException: ERROR: [Common 17-39] 'ipx::merge_project_changes' failed due to earlier errors.
- (See /home/lq/dma_try/vivado_pid32028.debug)
- */
- // Tcl Message: ipx::merge_project_changes files [ipx::current_core]
- // Tcl Message: ERROR: [Common 17-39] 'ipx::merge_project_changes' failed due to earlier errors.
- // HOptionPane Error: 'ERROR: [Common 17-39] 'ipx::merge_project_changes' failed due to earlier errors. (Vivado v2020.1 (64-bit))'
- selectButton("OptionPane.button", "OK"); // JButton (v, B)
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_MESSAGE_UPDATE
- closeView(PAResourceOtoP.PAViews_PACKAGE_IP, "Package IP - read_add_one"); // ac (m, cs)
- // TclEventType: CREATE_IP_CATALOG
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_MESSAGE_UPDATE
- // TclEventType: IP_LOCK_CHANGE
- // TclEventType: CREATE_IP_CATALOG
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_MESSAGE_UPDATE
- // CommandFailedException: ERROR: [Common 17-69] Command failed: ERROR: [Common 17-39] 'update_ip_catalog' failed due to earlier errors.
- /*
- #--------------------------------------------------------------------------
- # Xilinx Vivado v2020.1 (64-bit)
- # SW Build: 2902540 on Wed May 27 19:54:35 MDT 2020
- # IP Build: 2902112 on Wed May 27 22:43:36 MDT 2020
- # Current time: Sun Apr 24 18:23:20 CST 2022
- # Process ID (PID): 32028
- # OS: Ubuntu
- # User: lq
- #
- # This file is an indication that an internal application error occurred.
- # This information is useful for debugging. Please open a case with Xilinx.
- # Technical Support with this file and a testcase attached.
- #--------------------------------------------------------------------------
- ui.frmwork.CommandFailedException: ERROR: [Common 17-39] 'update_ip_catalog' failed due to earlier errors.
- (See /home/lq/dma_try/vivado_pid32028.debug)
- */
- // WARNING: HEventQueue.dispatchEvent() is taking 1178 ms.
- selectButton("OptionPane.button", "Yes"); // JButton (v, B)
- // Tcl Message: ipx::create_xgui_files [ipx::current_core]
- // Tcl Message: ipx::update_checksums [ipx::current_core]
- // Tcl Message: ipx::save_core [ipx::current_core]
- // Tcl Message: update_ip_catalog -rebuild -repo_path /home/lq/dma_try/dma_try.srcs/sources_1
- // Tcl Message: ERROR: [Common 17-39] 'update_ip_catalog' failed due to earlier errors.
- // HOptionPane Error: 'ERROR: [Common 17-39] 'update_ip_catalog' failed due to earlier errors. (Vivado v2020.1 (64-bit))'
- selectButton("OptionPane.button", "OK"); // JButton (v, B)
- // TclEventType: PACKAGER_MESSAGE_UPDATE
- // TclEventType: FILE_SET_CHANGE
- selectButton(PAResourceOtoP.PlanAheadTab_REFRESH_IP_CATALOG, "Refresh IP Catalog"); // h (ds, cs)
- // TclEventType: PACKAGER_UNLOAD_CORE
- // [GUI Memory]: 218 MB (+1331kb) [02:25:35]
- closeView(PAResourceOtoP.PAViews_PACKAGE_IP, "Package IP - read_add_one"); // ac
- closeView(PAResourceOtoP.PAViews_PACKAGE_IP, "Package IP - read_add_one"); // ac
- // Tcl Message: ipx::unload_core /home/lq/dma_try/dma_try.srcs/sources_1/component.xml
- selectButton(RDIResource.BaseReportTab_RERUN, "Rerun"); // h (ds, cs)
- // Tcl Command: 'report_ip_status -name ip_status '
- // TclEventType: IP_SUMMARY_RESULTS
- // Tcl Message: report_ip_status -name ip_status
- // HMemoryUtils.trashcanNow. Engine heap size: 2,467 MB. GUI used memory: 147 MB. Current time: 4/24/22, 6:23:29 PM CST
- selectButton(PAResourceItoN.IPStatusSectionPanel_UPGRADE_SELECTED, "Upgrade Selected"); // a (g, cs)
- // Run Command: PAResourceCommand.PACommandNames_UPGRADE_IP
- // TclEventType: RSB_OPEN_DIAGRAM
- // TclEventType: RSB_SCRIPT_TASK
- // TclEventType: RSB_REMOVE_OBJECT
- // Tcl Message: upgrade_ip -srcset design_1 -vlnv user.org:user:read_add_one:1.0 [get_ips design_1_read_add_one_0_3] -log ip_upgrade.log
- // TclEventType: RSB_REMOVE_OBJECT
- // Tcl Message: Upgrading '/home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/design_1.bd'
- // bz (cs): Upgrade IP : addNotify
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: RSB_SCRIPT_TASK
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_SAVE_DIAGRAM
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_SAVE_DIAGRAM
- // TclEventType: FILESET_UPDATE_IP
- // TclEventType: IP_UPGRADE_COMPLETE
- // Tcl Message: INFO: [IP_Flow 19-1972] Upgraded design_1_read_add_one_0_3 from read_add_one_v1_0 1.0 to read_add_one_v1_0 1.0
- // Tcl Message: Wrote : </home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/design_1.bd>
- // Tcl Message: INFO: [Coretcl 2-1525] Wrote upgrade log to '/home/lq/dma_try/ip_upgrade.log'.
- // Tcl Message: export_ip_user_files -of_objects [get_ips design_1_read_add_one_0_3] -no_script -sync -force -quiet
- // aI (cs): Generate Output Products: addNotify
- selectButton("OptionPane.button", "OK"); // JButton (v, B)
- dismissDialog("Generate Output Products"); // aI (cs)
- // TclEventType: RSB_CANVAS_LOCATION
- // TclEventType: RSB_BLOCK_SIZE
- // TclEventType: RSB_CANVAS_LOCATION
- // Run Command: RDIResourceCommand.RDICommands_DELETE
- // TclEventType: RSB_CANVAS_LOCATION
- // TclEventType: RSB_SCRIPT_TASK
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: RSB_SCRIPT_TASK
- // Tcl Message: delete_bd_objs [get_bd_intf_nets ps8_0_axi_periph_M01_AXI] [get_bd_intf_nets axi_dma_0_M_AXIS_MM2S] [get_bd_intf_nets read_add_one_0_axis_out] [get_bd_cells read_add_one_0]
- selectButton(PAResourceQtoS.SystemBuilderView_ADD_IP, "System_RSB_ADD_IP"); // E (g, cs)
- selectTreeTable(PAResourceAtoD.CoreTreeTablePanel_CORE_TREE_TABLE, "read_add_one_v1_0", 1, "read_add_one_v1_0", 0, false); // L (J, ResizableWindow)
- expandTreeTable(PAResourceAtoD.CoreTreeTablePanel_CORE_TREE_TABLE, "read_add_one_v1_0", 1); // L (J, ResizableWindow)
- selectTreeTable(PAResourceAtoD.CoreTreeTablePanel_CORE_TREE_TABLE, "read_add_one_v1_0", 1, "read_add_one_v1_0", 0, false, false, false, false, false, true); // L (J, ResizableWindow) - Double Click
- // TclEventType: REPORT_IP_STATUS_STALE
- // bz (cs): Add IP : addNotify
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- // Tcl Message: startgroup
- // Tcl Message: create_bd_cell -type ip -vlnv user.org:user:read_add_one:1.0 read_add_one_0
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: RSB_ADD_OBJECT
- // Tcl Message: endgroup
- dismissDialog("Add IP"); // bz (cs)
- // Tcl Command: 'set_property location {2 501 147} [get_bd_cells read_add_one_0]'
- // TclEventType: RSB_CANVAS_LOCATION
- // Tcl Message: set_property location {2 501 147} [get_bd_cells read_add_one_0]
- // HMemoryUtils.trashcanNow. Engine heap size: 2,523 MB. GUI used memory: 146 MB. Current time: 4/24/22, 6:23:49 PM CST
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_CONNECTION_CHANGE
- // Tcl Message: connect_bd_intf_net [get_bd_intf_pins read_add_one_0/axis_in] [get_bd_intf_pins axi_dma_0/M_AXIS_MM2S]
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_CONNECTION_CHANGE
- // Tcl Message: connect_bd_intf_net [get_bd_intf_pins read_add_one_0/axis_out] [get_bd_intf_pins axi_dma_0/S_AXIS_S2MM]
- selectButton(PAResourceQtoS.RSBApplyAutomationBar_RUN_CONNECTION_AUTOMATION, "Run Connection Automation"); // h (dq, cs)
- // ai (cs): Run Connection Automation: addNotify
- selectButton(RDIResource.BaseDialog_OK, "OK"); // a (ai)
- dismissDialog("Run Connection Automation"); // ai (cs)
- // TclEventType: XGUI_UPDATE_GUI_ELEMENT
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // bz (cs): Run Connection Automation : addNotify
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // Tcl Message: apply_bd_automation -rule xilinx.com:bd_rule:axi4 -config { Clk_master {/zynq_ultra_ps_e_0/pl_clk0 (200 MHz)} Clk_slave {Auto} Clk_xbar {/zynq_ultra_ps_e_0/pl_clk0 (200 MHz)} Master {/zynq_ultra_ps_e_0/M_AXI_HPM1_FPD} Slave {/read_add_one_0/s_axi} ddr_seg {Auto} intc_ip {/ps8_0_axi_periph} master_apm {0}} [get_bd_intf_pins read_add_one_0/s_axi]
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_CONNECTION_CHANGE
- // TclEventType: RSB_SCRIPT_TASK
- // TclEventType: XGUI_RESET_GUI_ELEMENT
- // Tcl Message: Slave segment '/read_add_one_0/s_axi/reg0' is being assigned into address space '/zynq_ultra_ps_e_0/Data' at <0xB000_0000 [ 64K ]>.
- dismissDialog("Run Connection Automation"); // bz (cs)
- // Run Command: PAResourceCommand.PACommandNames_SAVE_RSB_DESIGN
- // TclEventType: RSB_SAVE_DIAGRAM
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_SAVE_DIAGRAM
- // Tcl Message: save_bd_design
- // Tcl Message: Wrote : </home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/design_1.bd> Wrote : </home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/ui/bd_1f5defd0.ui>
- // [Engine Memory]: 2,579 MB (+8322kb) [02:26:09]
- // Elapsed time: 10 seconds
- selectButton(PAResourceOtoP.PlanAheadTab_REFRESH_IP_CATALOG, "Refresh IP Catalog"); // h (ds, cs)
- // TclEventType: CREATE_IP_CATALOG
- // TclEventType: IP_LOCK_CHANGE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: BDCELL_LOCK_CHANGE
- // TclEventType: RSB_LOCK_CHANGE
- // TclEventType: IP_LOCK_CHANGE
- // TclEventType: BDCELL_LOCK_CHANGE
- // TclEventType: IP_LOCK_CHANGE
- // TclEventType: BDCELL_LOCK_CHANGE
- // TclEventType: IP_LOCK_CHANGE
- // TclEventType: BDCELL_LOCK_CHANGE
- // TclEventType: CREATE_IP_CATALOG
- // bz (cs): Refresh IP Catalog : addNotify
- // Tcl Message: update_ip_catalog -rebuild -scan_changes
- // Tcl Message: INFO: [IP_Flow 19-234] Refreshing IP repositories
- // Tcl Message: INFO: [IP_Flow 19-1700] Loaded user IP repository '/home/lq/dma_try'.
- // TclEventType: IP_SUMMARY_RESULTS
- // Tcl Message: report_ip_status -name ip_status
- // a (cs): Critical Messages: addNotify
- dismissDialog("Refresh IP Catalog"); // bz (cs)
- selectButton(PAResourceAtoD.CmdMsgDialog_OK, "OK"); // f (a)
- dismissDialog("Critical Messages"); // a (cs)
- selectButton(PAResourceItoN.IPStatusSectionPanel_UPGRADE_SELECTED, "Upgrade Selected"); // a (g, cs)
- // Run Command: PAResourceCommand.PACommandNames_UPGRADE_IP
- // TclEventType: RSB_OPEN_DIAGRAM
- // TclEventType: RSB_SCRIPT_TASK
- // TclEventType: RSB_REMOVE_OBJECT
- // bz (cs): Upgrade IP : addNotify
- // Tcl Message: upgrade_ip -srcset design_1 -vlnv user.org:user:read_add_one:1.0 [get_ips design_1_read_add_one_0_4] -log ip_upgrade.log
- // Tcl Message: Upgrading '/home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/design_1.bd'
- // Elapsed time: 118 seconds
- selectButton(RDIResource.ProgressDialog_BACKGROUND, "Background"); // a (bz)
- selectButton("HStatusBar_ProgressStatusItem_Cancel", "Cancel"); // NullButton (af, cs)
- // Tcl Message: INFO: [Common 17-365] Interrupt caught but 'upgrade_ip' cannot be canceled. Please wait for command to finish.
- selectButton(PAResourceQtoS.SystemTab_SHOW_IP_STATUS, "Show IP Status"); // h (ds, cs)
- // HOptionPane Warning: 'A background task is running. Please wait until it completes and try again. (Background Task)'
- selectButton("OptionPane.button", "OK"); // JButton (v, B)
|